午夜毛片免费看,老师老少妇黄色网站,久久本道综合久久伊人,伊人黄片子

基于fpga的發(fā)射檢測單元及其實現(xiàn)方法

文檔序號:9550998閱讀:1000來源:國知局
基于fpga的發(fā)射檢測單元及其實現(xiàn)方法
【技術(shù)領(lǐng)域】
[0001]本發(fā)明涉及發(fā)射機功放通道檢測領(lǐng)域,具體地,涉及基于FPGA的發(fā)射檢測單元及其實現(xiàn)方法。
【背景技術(shù)】
[0002]發(fā)射機是系統(tǒng)的重要組成部分,為系統(tǒng)提供大功率發(fā)射信號,它的穩(wěn)定性對整個系統(tǒng)至關(guān)重要。而發(fā)射設(shè)備一般結(jié)構(gòu)較復(fù)雜且拆裝起來比較困難,檢測維修都不太方便,因此需要對發(fā)射分機各單元或模塊的重要輸出信號進行實時在線檢測。這就要求發(fā)射機檢測電路能及時檢測發(fā)射機異常并實時上報,以便維護人員判斷異常來源,及時處理,防止發(fā)射機在不正常狀態(tài)下工作,損壞發(fā)射機及其他分系統(tǒng)。
[0003]以往的發(fā)射機檢測電路一直使用單片機完成信息處理和信息的串口傳輸。單片機雖然具有強大的信息處理、邏輯分析、決策判斷能力,但單片機存在不可克服的缺點和弱點:低速一單片機靠執(zhí)行指令來完成各種功能,不論多高的工作時鐘頻率或多好的指令時序,其排隊式串行指令執(zhí)行方式使工作速度和效率大打折扣。在高速實時仿真、高速數(shù)據(jù)采集等方面顯得力不從心;復(fù)位工作方式一單片機工作之初,需花一段時間經(jīng)歷復(fù)位過程。工作時,在某種干擾性突變情況下,也會復(fù)位,復(fù)雜的復(fù)位過程很可能就是工作不可靠的根源;程序跑飛一偶然因素,會引起程序跑飛,雖然有“看門狗”或其他抗干擾措施,但在極復(fù)雜情況下,單片機的程序仍存在跑飛的可能,從而進入“死機”狀態(tài),影響發(fā)射機的可靠性。另外,在單片機系統(tǒng)中,單片機的芯片通過印制板與系統(tǒng)中由其他集成電路組成的邏輯電路相連,一旦改變設(shè)計,可能重新制版,延長開發(fā)周期。
[0004]隨著微電子技術(shù)飛速發(fā)展,集成電路規(guī)模越來越大,產(chǎn)生了復(fù)雜可編程邏輯器件CPLD和現(xiàn)場可編程門陣列FPGA。同以往的PAL和GAL器件相比,這些器件含有數(shù)量眾多的可編程邏輯宏單元或邏輯塊,規(guī)模大,組合能力強,設(shè)計成功能各異的邏輯電路,適合于時序、組合等邏輯電路。FPGA器件輸入引腳的箝位電平和輸出引腳的原始電平可預(yù)先設(shè)定,一開機立即就能達到預(yù)定電平,狀態(tài)明確。各邏輯宏單元或邏輯塊的輸入信號僅需幾納秒至幾十納秒就反映到輸出端。信號傳輸效率很高,適合高速采樣等場合。可編程邏輯宏單元或邏輯塊之間的相互連線在同一封裝內(nèi),受外界干擾影響小,電磁兼容(EMC)性能好。然而,對設(shè)計者來說,F(xiàn)PGA器件最大的優(yōu)點在于可現(xiàn)場編程。改變邏輯關(guān)系時.無需更改外部線路板,只需用圖形語言程序或硬件描述語言程序來改變電路,生成下載編輯軟件,通過下載電纜輸入FPGA器件即可,非常方便,特別有利于新品試制,可以大大縮短開發(fā)周期。
[0005]比較新技術(shù)帶來的有利之處,本文提出一種基于FPGA的發(fā)射檢測技術(shù),它不僅能實時檢測發(fā)射功放通道的工作狀態(tài)、采集信號源輸出波形和功放輸出的包絡(luò)信號,還能監(jiān)測發(fā)射倉內(nèi)的溫度、電路板的工作姿態(tài)和電子艙底部電極的進水情況,并利用串口通訊將檢測數(shù)據(jù)發(fā)送至上位機,提高了設(shè)備的檢測維修性,為發(fā)射機的高效可靠安全運行保駕護航。

【發(fā)明內(nèi)容】

[0006]針對現(xiàn)有技術(shù)中的不足,本發(fā)明的目的是提供一種基于FPGA的發(fā)射檢測單元及其實現(xiàn)方法。
[0007]根據(jù)本發(fā)明提供的基于FPGA的發(fā)射檢測單元,包括:AD采集控制模塊、串口通信模塊、溫度采集模塊、傳輸控制模塊,其中,利用FPGA的邏輯程序?qū)崿F(xiàn)對所述AD采集控制模塊、串口通信模塊、溫度采集模塊、傳輸控制模塊的控制;
[0008]-所述AD采集控制模塊用于采集功放通道包絡(luò)信號、信號源波形、同步信號、整流模塊的數(shù)字、接收和功放電源的正負(fù)電壓、以及電子倉底部電極的正負(fù)電壓值;
[0009]-所述串口通信模塊用于實現(xiàn)與電子羅盤、外部電路進行數(shù)據(jù)通信;
[0010]-所述溫度采集模塊用于控制溫度傳感器的數(shù)據(jù)采集;
[0011]-傳輸控制模塊用于將AD采集控制模塊采集到的模擬信號轉(zhuǎn)換為數(shù)字信號,并將所述數(shù)字信號、溫度傳感器采集到的數(shù)據(jù)按照串口通訊協(xié)議規(guī)定的數(shù)據(jù)格式發(fā)送給上位機。
[0012]優(yōu)選地,所述AD采集控制模塊包括七個AD采集器,其中:
[0013]兩個AD采集器用于采集整流模塊的數(shù)字、接收和功放電源的正負(fù)電壓,以及電子倉底部電極的正負(fù)電壓值,這兩個AD采集器中的每一個AD采集器支持四路輸入,每一路輸入在同步信號觸發(fā)轉(zhuǎn)換之后,采集60個數(shù)據(jù)點,并對這60個數(shù)據(jù)點對應(yīng)的采樣值進行比較,取最大值作為一個周期的采樣結(jié)果存入FPGA的片內(nèi)RAM中;
[0014]其余五個AD采集器采集的信號包括:多路功放包絡(luò)信號、信號源輸出的波形、同步信號;每一個周期時間內(nèi),對每一路輸入按順序采集60個數(shù)據(jù)點,連同60個數(shù)據(jù)里面的最大值一起存入RAM中。
[0015]優(yōu)選地,所述串口通信模塊包括兩個串口芯片,其中一個串口芯片設(shè)置為半雙工工作模式,通過底板接插件與具有RS485接口的電子羅盤進行數(shù)據(jù)通信;另一個串口芯片設(shè)置為全雙工工作模式,并將串口協(xié)議定義為4線差分制,波特率38400bps,8位數(shù)據(jù)位,1個停止位,無奇偶校驗;串口通信控制模塊通過串口芯片及接插件與外部電路板之間搭建基于RS422接口的串口傳輸通道。
[0016]優(yōu)選地,所述溫度采集模塊為DS18B20,控制溫度傳感器的數(shù)據(jù)采集,利用單根數(shù)據(jù)線進行指令的輸入及數(shù)據(jù)的輸出。
[0017]優(yōu)選地,所述傳輸控制模塊用于在每個周期時間內(nèi),同步信號觸發(fā)AD采集控制模塊開始工作,將輸入AD的模擬信號轉(zhuǎn)換為數(shù)字信號,當(dāng)AD采集器采集完數(shù)據(jù)后,傳輸控制模塊將存儲在RAM中的波形數(shù)據(jù)順序讀取出來,再按照串口通訊協(xié)議規(guī)定的數(shù)據(jù)格式將發(fā)射倉溫度、電子倉絕緣電極電壓值、功放工作狀態(tài)、整流模塊的輸出電壓值、信號源電壓值及波形包絡(luò)數(shù)據(jù)、同步信號電壓值及波形包絡(luò)數(shù)據(jù)、功放通道電壓值及波形包絡(luò)數(shù)據(jù)通過串口發(fā)送給上位機。
[0018]根據(jù)本發(fā)明提供的基于FPGA的發(fā)射檢測單元的實現(xiàn)方法,包括如下步驟:
[0019]信號采集步驟:AD轉(zhuǎn)換器采集功放通道包絡(luò)信號、信號源波形及同步信號;
[0020]電壓采集步驟:AD轉(zhuǎn)換器采集功放板整流模塊輸出的電壓、電子倉底部電極的絕緣電壓值;
[0021]功放通道狀態(tài)和傳感器數(shù)據(jù)采集步驟:采集功放通道工作狀態(tài)和溫度傳感器的數(shù)據(jù);
[0022]數(shù)據(jù)上傳步驟:利用串口傳輸芯片與外部電子羅盤進行數(shù)據(jù)通信,并通過串口將發(fā)射檢測單元的采集數(shù)據(jù)發(fā)送給外部電路板,所述發(fā)射檢測單元的采集數(shù)據(jù)包括:功放通道包絡(luò)信號、信號源波形、同步信號、功放板整流模塊輸出的電壓、電子倉底部電極的絕緣電壓值、功放通道工作狀態(tài)以及溫度傳感器的數(shù)據(jù);
[0023]硬件模塊控制步驟:利用FPGA的邏輯程序?qū)崿F(xiàn)AD采集控制模塊、串口通信模塊、溫度采集模塊以及傳輸控制模塊的控制。
[0024]優(yōu)選地,所述信號采集步驟包括:將發(fā)射機功放通道輸出的多路包絡(luò)信號、信號源輸出的波形,以及觸發(fā)功放開始工作的同步信號經(jīng)運算放大器進行隔離濾波后輸出給AD米集器。
[0025]優(yōu)選地,所述電壓采集步驟包括:將功放板整流模塊的數(shù)字、接收和功放電源的正負(fù)電壓,以及電子倉底部電極的正負(fù)電壓信號經(jīng)過運算放大器進行隔離濾波后輸出給AD采集器,當(dāng)采集到電子倉底部電極絕緣電阻較低時,切斷電子倉電源;根據(jù)采集到的電極絕緣阻值來判定電子倉是否進水,若阻值小于1ΜΩ則輸出高電平,若阻值大于等于1ΜΩ則輸出低電平,其中低電平表示電子倉未進水,高電平表示電子倉進水。
[0026]優(yōu)選地,所述功放通道狀態(tài)和傳感器數(shù)據(jù)采集步驟包括:通過功放工作狀態(tài)輸出接口采集功放通道狀態(tài)信息,利用DALLAS SEMICONDUCTOR公司的DS18B20芯片控制溫度傳感器采集溫度值,并實現(xiàn)組網(wǎng)多點測溫。
[0027]優(yōu)選地,所述硬件模塊控制步驟包括:選用Xilinx公司Virtex-5系列芯片XC5VLX30T-1FFG323I,所述系列芯片 XC5VLX30T-1FFG323I 具有 2400 個 CLBs,172 個可用 1/0,并利用硬件描述語言實現(xiàn)AD采集控制模塊、串口通信模塊、溫度采集模塊以及傳輸控制模塊的控制。
[0028]與現(xiàn)有技術(shù)相比,本發(fā)明具有如下的有益效果:
[0029]1、本發(fā)明提供的基于FPGA的發(fā)射檢測單元的實現(xiàn)方法采用多通道采集,信息處理實時高效。
[0030]2、本發(fā)明提供的基于FPGA的發(fā)射檢測單元結(jié)構(gòu)簡單,采用大規(guī)模集成芯片,簡化了系統(tǒng)設(shè)計。
[0031]3、本發(fā)明提供的基于FPGA的發(fā)射檢測單元的實現(xiàn)方法存儲資源耗費少,傳輸距離長,抗噪聲干擾性好。
當(dāng)前第1頁1 2 3 
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評論。精彩留言會獲得點贊!
1