午夜毛片免费看,老师老少妇黄色网站,久久本道综合久久伊人,伊人黄片子

數(shù)據(jù)通信系統(tǒng)的快速上電的制作方法

文檔序號:7936934閱讀:390來源:國知局
專利名稱:數(shù)據(jù)通信系統(tǒng)的快速上電的制作方法
技術領域
本發(fā)明涉及一種數(shù)據(jù)通信系統(tǒng),包括具有第一時鐘產(chǎn)生電路的 發(fā)送機以及具有第二時鐘產(chǎn)生電路的接收機。
背景技術
高速數(shù)據(jù)通信鏈路通常利用輸入輸出(io)信號技術,該技術
在運行期間需要相當"穩(wěn)定(static)"的功耗部分,不受實際數(shù)據(jù)
速率的約束。在大多數(shù)情況下,該功耗的一個重要部分與驅動線路終
端以獲得傳輸線路上的可靠信號行為的需要相關。一種通常使用的驅 動器結構是電阻加載的差分對。其他在一端或兩端提供終端電阻的線
路驅動解決方案也是可行的。后者的 一 個示例是具有源串聯(lián) (source-series)終端和遠端線路終端的全橋驅動器結構。例如,在 "Embedded Low-Cost 1.2Gb/s Inter-IC Serial Data Link in 0.35//m CMOS technology " , G.W.den Besten , Proc. IEEE International Solid-State Circuits Conference, pp251-252, Feb.2000以及一些移動 行業(yè)處理器接口 (MIPI)的高速接口實施中可以找到該低功率解決 方案。
移動行業(yè)處理器接口 (MIPI)聯(lián)盟是一個開放式團隊組織,包 括移動行業(yè)中的領先企業(yè),旨在確定并推動移動終端接口的開放性規(guī) 范。MIPI規(guī)范建立了移動終端系統(tǒng)中通常存在的處理器及外圍設備 之間的硬件和軟件接口的標準。通過定義這種標準并且在整個行業(yè)價 值鏈中鼓勵其采用,MIPI聯(lián)盟旨在減少存儲碎片并且改進系統(tǒng)部件 之間的互操作性,以有益于整個移動行業(yè)。MIPI聯(lián)盟旨在以微處理 器、外圍設備以及軟件接口為焦點來補充現(xiàn)存的標準體制,如開放移 動通信聯(lián)盟和3GPP。
上述終端IO信號技術具有共同特征,它們在運行期間均消耗DC電源,不受實際傳輸?shù)臄?shù)據(jù)速率("單位時間所需的功耗 (Pay-per-Time)")的約束。這與僅在信號轉變期間消耗功率("每 個信號轉變所需的功耗(Pay-per-Signal Transition)")的具有軌到 軌轉向的(低速)CMOS非終端IO技術形成對照。
為了實現(xiàn)高功率效率(能量/比特),通常在設計所允許的可能 傳輸速率范圍的高端來操作終端鏈路。數(shù)字電路的功耗隨頻率發(fā)生變 化,并且每比特的靜態(tài)功率消耗將降低。因此,如果需要這種高速 10,在許多(甚至是大多數(shù))情況下,存在比實際需要更多的有效 帶寬。這有利于突發(fā)模式通信(分組),因為突發(fā)模式通信通常對于 以低速運行一條鏈路,或者始終使其保持待用狀態(tài)沒有吸引力,特別 地如果帶寬需求遠低于有效鏈路帶寬。在傳輸突發(fā)之間,可將鏈路斷 電以減小功耗。但是,除數(shù)據(jù)凈載荷傳輸所需時間之外通常還需要一 些開銷時間來啟動和停止傳輸。為了保持功率效率運行,即使具有較 短的數(shù)據(jù)突發(fā),也必須使啟動和停止所需的開銷時間盡可能的少。
在許多情況下,接收機(RX)中數(shù)據(jù)突發(fā)出現(xiàn)的檢測不是主要 瓶頸。根據(jù)待用線路狀態(tài),這可通過如DC線路電平檢測(MIPI D-PHY)或者差分波幅檢測(USB 2.0)、周期性地輪詢、行為監(jiān)測、 邊緣檢測來實現(xiàn)。[縮寫"D-PHY"是MIPI串聯(lián)接口的名稱,D-PHY 基于使用200mV的額定擺幅的1.2伏特的源同步可升級低電壓信號 傳輸技術,最多支持四條通路,每條通路速率達到1Gbit/sec]。可替 換地,如果緊接著高速(HS)傳輸后存在另一通信,如不需要許多 待用功率的通過相同鏈路的較低速和/或異步的通信,則可使用消息、
命令或碼字來識別數(shù)據(jù)突發(fā)的開始。問題是,如果將所有設備斷電, 啟動并進入"傳輸/接收準備就緒狀態(tài)"(尤其是鎖定并同步時鐘的 過程)將花費很長時間。其原因是通常高速數(shù)據(jù)通信解決方案需要時 鐘在可以進行(可靠)傳輸之前穩(wěn)定。
此外,主要存在兩種用于高速串行接口的時鐘解決方案,被稱 為源同步和嵌入式時鐘。源同步解決方案與嵌入式時鐘解決方案相比 存在的較大的優(yōu)點是數(shù)據(jù)和時鐘(或選通)信號共同包含所有必須信 息。只要保持信號完整,頻率可在很大范圍內改變。對于通常的嵌入式時鐘解決方案,假設傳輸期間頻率穩(wěn)定并且數(shù)據(jù)流自身必須包括足 夠的時鐘信息從而以可靠的方式來同步接收機。但是,由于不存在匹 配數(shù)據(jù)和時鐘/選通傳輸路徑的問題,嵌入式時鐘解決方案可以高速 運行。另一方面,嵌入式時鐘接收機需要時鐘和數(shù)據(jù)恢復(CDR),
而源同步解決方案僅需要用所提供的時鐘來進行簡單的數(shù)據(jù)分割。 可以再將通常的嵌入式時鐘類型解決方案分為幾類。 第一類涉及同步全速或半速比特時鐘的使用,或者任何其他從
發(fā)送機(TX)傳輸?shù)浇邮諜C(RX)的具有固定并且已知頻率比的較 低頻率時鐘(如字節(jié)或字時鐘)。不保持數(shù)據(jù)與相位同步。TX和RX 共享同一時鐘頻率(或它們時鐘頻率之間的己知并且固定的比率), 并且RX僅需要執(zhí)行相位校準(以及在傳輸較低頻率固定比率時鐘的 情況下的時鐘倍增)。
第二類使用接收機,該接收機不從發(fā)送端獲得參考時鐘信號的, 但是鎖定至數(shù)據(jù)流中的嵌入式時鐘并且從而從其中恢復出時鐘和數(shù) 據(jù)信息。如果對數(shù)據(jù)流適當編碼以使其包括足夠的時鐘信息,則這是 可行的。例如對于二進制傳輸,這可通過8B10B碼實現(xiàn)。8B10B碼 是將8位符號映射為10位符號以實現(xiàn)DC平衡和有界不均等性的線 路碼,并且也提供足夠的狀態(tài)改變以允許由于減小的內部符號干擾的 時鐘恢復(如美國專利4,486,739)。為了避免錯誤鎖定(子)諧波, 必須提供一些鎖定輔助,或者數(shù)據(jù)編碼必須隱含地提供足夠的頻率信 息(如曼徹斯特編碼)??紤]編碼效率的原因,許多情況下優(yōu)選鎖定 輔助的使用。例如,鎖定輔助可包括幫助接近數(shù)據(jù)速率的本地接收機 參考時鐘和/或數(shù)據(jù)流中的訓練序列。
第三類具有不從發(fā)送端接收參考時鐘信號的接收機,但是發(fā)送 機和接收機都具有本地參考時鐘,已知一個本地參考時鐘的頻率接近 另一個本地參考時鐘的頻率(如約萬分之一的頻率差異),但是不是
嚴格相等(即,準同步時鐘)。接收機時鐘保持為鎖定于本地參考時 鐘,并且通過過采樣數(shù)據(jù)流在數(shù)字域恢復數(shù)據(jù)。應該注意,如果接收 機時鐘信號在傳輸數(shù)據(jù)之前鎖定于本地參考時鐘,然后在實際凈載荷 數(shù)據(jù)傳輸發(fā)生之前使訓練序列與數(shù)據(jù)流同步,則本地參考時鐘起鎖定輔助的作用,這涵蓋于第二類解決方案中。
根據(jù)第二類和第三類的解決方案比第一類的解決方案(源同步 解決方案)需要更少的鏈接,因為這種嵌入式時鐘解決方案不需要傳 輸單獨的時鐘信號。但是,對于第二類解決方案,由于需要進行相位 同步并且接收機必須在可靠的數(shù)據(jù)接收開始之前首先鎖定到適當頻 率,因此同步變得更加復雜。如果時鐘信號是可操作的,則第三類解 決方案可通過使用參考頻率非常接近的相關信息非??斓貑印5?是,第三類解決方案通常需要在兩端獲得幾乎相等的參考頻率。這對 實施可能不是小事情并且系統(tǒng)中可能需要附加的參考(可能是晶體) 振蕩器。由于第一類解決方案需要更多的連接并且10功耗成本較高, 因此第二類和第三類解決方案更引人注意。
如果啟動時間是重要的并且參考頻率(通常)遠低于數(shù)據(jù)速率, 則發(fā)送機和接收機中的時鐘倍增器通常必須在實際數(shù)據(jù)傳輸發(fā)生之 前有效并且穩(wěn)定。實際上,這就意味著在許多情況下,由于時鐘倍增
解決方案(如通常用于此的延遲鎖定環(huán)(DLL)或者鎖相環(huán)(PLL)) 不能啟動并且變得足夠準確快速,時鐘將一直保持運行。在高頻運行 的同時保持這些功能處于啟動狀態(tài)功耗可能非常大。
考慮通過從完全斷電狀態(tài)啟動來傳輸數(shù)據(jù)突發(fā)的傳統(tǒng)通信系 統(tǒng)。首先,必須啟動發(fā)送機時鐘產(chǎn)生。當時鐘頻率和相位穩(wěn)定時可開 始傳輸。接收機在其觀察到表示數(shù)據(jù)突發(fā)將要到達的特定指示之前一 直保持斷電狀態(tài)。例如這可以通過上文中描述的任何方法來實現(xiàn)。由 于在該情況下需要附加的線路,因此出現(xiàn)了不期望的單獨的邊帶信 號。在檢測到即將到來的數(shù)據(jù)傳輸?shù)闹甘竞?,必須啟動接收機時鐘產(chǎn)
生并且需要一定時間來獲得時鐘信號的穩(wěn)定頻率和穩(wěn)定相位。在傳輸 開始與可靠接收時刻之間,需要傳輸訓練序列來同步接收機。盡管發(fā)
送機的啟動時間和接收機時鐘產(chǎn)生過程可能(部分地)重疊,并且為 了快速收集可采取附加的措施,但是在通常系統(tǒng)中啟動時間將保持相 對較長的時間,這是因為啟動時間是由至少一個時鐘啟動、頻率和相 位的穩(wěn)定以及PLL或DLL的同步時間實際限定的。在數(shù)據(jù)傳輸完成 之后快速斷電通常不是非常嚴重的問題。由于功率和電磁煩擾(EMI)的原因,需要低參考頻率以及因此
需要高時鐘倍增因子。由于穩(wěn)定性原因需要時鐘倍增環(huán)路帶寬非常
低,因此這將導致一個慢的同步過程。例如,對于具有10MHz的輸 入?yún)⒖紩r鐘的時鐘倍增器PLL,小于lMHz的環(huán)路帶寬是實際可行 的,這通常將導致大于10^的相位穩(wěn)定時間。增強相位濾波屬性的 較低參考頻率和/或較低環(huán)路帶寬同時也增加啟動時間。頻率獲取時 間加在該相位同步時間之上。這可以很容易地導致約10-100/zs或者 甚至更長的啟動時間。例如,對于2Gb/s的數(shù)據(jù)傳輸,100//s等效于 200,000比特,這意味著短數(shù)據(jù)突發(fā)的傳輸效率("每單位時間的功 耗")變得效率非常低。不遇到關于功率的嚴重問題以及EMI問題 的情況下,較高的參考頻率可減少啟動時間,但是不會減小其數(shù)量級。 啟動持續(xù)時間問題與傳統(tǒng)通信系統(tǒng)隱含地假設用于發(fā)送機和接 收機的絕對準確的時間基準的事實非常相關。盡管這導致容易理解的 低效率系統(tǒng)。

發(fā)明內容
本文提出的本發(fā)明的一方面在于嵌入式時鐘數(shù)據(jù)通信的概念, 其不依賴于穩(wěn)定頻率時鐘信號而允許在數(shù)據(jù)傳輸已發(fā)生的同時改變 并穩(wěn)定時鐘信號。本發(fā)明通過允許緩慢地改變時鐘頻率來使能從完全 斷電狀態(tài)的快速啟動,從而消除了在啟動之前使高速時鐘倍增/產(chǎn)生 長時間運行的需要,或者消除了較長訓練周期的需要,如上述傳統(tǒng)解 決方案一樣。本發(fā)明的另一方面在于提供措施,以加速發(fā)送機和/或
接收機中的時鐘產(chǎn)生電路鎖定至所需頻率。
更具體地講,本發(fā)明提出了一種數(shù)據(jù)通信系統(tǒng),包括具有第一 時鐘產(chǎn)生電路的發(fā)送機和具有第二時鐘產(chǎn)生電路的接收機。在通信中 的連續(xù)數(shù)據(jù)突發(fā)之間將第一和第二時鐘產(chǎn)生電路中的至少一個特定 時鐘產(chǎn)生電路斷電。該系統(tǒng)具有用于加速數(shù)據(jù)通信系統(tǒng)在所述特定時 鐘產(chǎn)生電路斷電之后的運行使用的裝置。該裝置包括預設裝置,用于 將所述特定時鐘產(chǎn)生電路在啟動時的運行量設定為預定值。
所述運行量可以為一個模擬量。優(yōu)選地,特定時鐘產(chǎn)生電路包括頻率獲取輔助。所述運行量也可以為一個數(shù)字量。如果可通過將一 個數(shù)字加載到寄存器中來設置特定時鐘產(chǎn)生電路的頻率,則不需要頻 率獲取輔助。本領域已知頻率獲取輔助。這種輔助的一個實施例是比 較要加以控制的時鐘信號與參考時鐘,并且創(chuàng)建表示頻率差的控制信 號。如果PLL具有非常窄的在其中它能穩(wěn)定的頻帶,則通常使用頻 率獲取輔助來將PLL驅動到期望的頻率。本發(fā)明提出在時鐘產(chǎn)生電 路斷電之后再啟動時將該輔助特別用于接收機的時鐘產(chǎn)生電路中。
在本發(fā)明的實施例中,預置裝置將前一數(shù)據(jù)突發(fā)期間存儲的前 一個運行量的值用作下一個數(shù)據(jù)突發(fā)的通信中使用的預定值。在突發(fā) 模式數(shù)據(jù)通信中,對于連讀的數(shù)據(jù)突發(fā)而言,系統(tǒng)通常以類似比特率 運行。因此,前一突發(fā)的時鐘產(chǎn)生器狀態(tài)可以被認為是下一突發(fā)的準 確預設值,以顯著地提髙啟動時間。
在本發(fā)明關注的實施例中,將發(fā)送機配置為在第一時鐘產(chǎn)生電 路中的時鐘信號屬性已經(jīng)穩(wěn)定之前開始向接收機傳輸數(shù)據(jù),并且將接 收機配置為屬性穩(wěn)定的同時跟蹤所接收的數(shù)據(jù)。所述屬性例如是時鐘 頻率或者時鐘相位。如果發(fā)送機的時鐘信號的時鐘頻率或相位還沒有 穩(wěn)定,而處于某一范圍內或者具有可預知的和/或可追蹤的行為,則 接收機可在恢復所接收的數(shù)據(jù)的同時考慮這種情況。這種接收機可以 是如同一申請人在2006年11月29日提交的未公開的歐洲專利 No.06125048.6中描述的異步接收機方案,或者是可足夠準確地跟蹤 相位頻率改變的同步接收機。
優(yōu)選地,該系統(tǒng)具有用于系統(tǒng)運行使用中數(shù)據(jù)傳輸?shù)倪\行模式 以及用于配置運行使用的系統(tǒng)的配置模式。在配置模式中,發(fā)送機和 接收機中的特定一個向發(fā)送機和接收機中的另一個傳輸關于屬性的 信息,如所需要的訓練時間、頻率行為和/或相位行為(如穩(wěn)定期間)、 額定的比特速率。關于屬性"額定的比特速率",由于零是較差的開 始位置,這可以用作一階近似的指示。如果同一接口支持多種比特速 率(如基礎比特速率、2倍基礎比特速率、四倍基礎比特速率或者任 何其他預定速率),這將變得更加重要。在這種方式中,可交換發(fā)送 機和接收機關于容量的信息,以使整個系統(tǒng)可以在其可支持的最大性能的情況下運行。接著系統(tǒng)可配置發(fā)送機和接收機中的另一個,以使 其在所述屬性穩(wěn)定之前可以進行數(shù)據(jù)通信。因此,發(fā)送機和接收機可 協(xié)商它們的容量以使通信時延和功耗最小化。


下文將通過示例并參考附圖進一步詳細地說明本發(fā)明,其中 圖1是本發(fā)明的系統(tǒng)的方框圖2、 3、 4和5是說明本發(fā)明的系統(tǒng)中PLL啟動行為的示意圖; 圖6和7是具有預設設備的PLL電路的方框圖; 圖8是異步接收機的方框圖9是MIPI D-PHY逃亡模式信號傳輸?shù)氖疽庠谒袌D示中,相同參考數(shù)字表示相似或相應的特征。
具體實施例方式
如在上述背景技術部分的說明,時鐘產(chǎn)生和倍增模塊的頻率穩(wěn) 定和相位穩(wěn)定形成了傳統(tǒng)系統(tǒng)中與快速啟動相關的基本限制因素。此 夕卜,傳統(tǒng)通信解決方案的嚴格的參考時鐘限制是系統(tǒng)級的重要負荷。 本發(fā)明提供一種克服這些限制的解決方案并且能快速地從斷電狀態(tài) 啟動。
圖1是表示基本功能的本發(fā)明的傳輸系統(tǒng)100的方框圖。系統(tǒng) 100包括發(fā)送機102、接收機104和連接二者的通信信道106。信道 106傳輸帶有嵌入式時鐘信息的數(shù)據(jù)信號。例如信道106可以為電學 的或者光學、單端的或差分的連接。發(fā)送機102包括連接到并行/串 行模塊110的并行數(shù)據(jù)輸入端108。模塊110在具有PLL 114的時鐘 產(chǎn)生電路的控制下向線路驅動器112提供串行數(shù)據(jù)。發(fā)送機102還可 包括數(shù)據(jù)編碼模塊(未示出)。接收機104包括放大器和采樣部分 116、具有PLL 118的時鐘產(chǎn)生電路以及時鐘數(shù)據(jù)恢復模塊120。接 收機104還可包括用于檢測信道106上的突發(fā)數(shù)據(jù)的突發(fā)檢測器(未 示出)。
圖2是傳統(tǒng)的二階PLL在啟動時的行為中從啟動以來獲得的頻率與經(jīng)過時間的關系的示意圖200。交叉虛線區(qū)域202表示頻率搜索 周期,其中PLL試圖鎖定至期望的頻率。區(qū)域202中的行為通常示 出了由于周期滑移而引起的許多尖峰。當在點204處達到正確頻率 時,周期滑移停止發(fā)生并且環(huán)路穩(wěn)定地平滑過渡到正確相位。如果環(huán) 路大于臨界阻尼,則將不會發(fā)生阻尼振蕩。與比特周期相比,包括在 點206準確相位穩(wěn)定的總啟動時間需要很長時間。在點204的頻率鎖 定與在點206的相位穩(wěn)定之間的時間具有數(shù)千個比特周期的長度。
圖3是PLL在啟動時的行為的示意圖300,其中已向PLL安裝 了頻率獲取輔助,以在啟動期間將PLL的頻率主動驅向于正確的頻 率。圖300示出了如圖200中的傳統(tǒng)PLL的行為,但是前者使用了 附加的頻率搜索輔助以使環(huán)路變得更早被頻率鎖定。即,頻率鎖定的 點302發(fā)生在早于在204點鎖定的時間。獲得了由箭頭304表示的顯 著的時間改進。
圖4是使用如圖300中的頻率獲取輔助和另外的預設的PLL的 行為的示意圖400。圖400示出了通過對環(huán)路進行預設,可獲得更大 的時間增益。箭頭402表示累積的時間增益。此外,在穩(wěn)定期間,頻 率可保持在較緊的限制內。實現(xiàn)非常短的啟動時間的主要瓶頸仍然是 準確的相位穩(wěn)定。為了縮短啟動時間,時鐘產(chǎn)生器的頻率必須變得盡
可能地接近額定頻率(獲取時間TAC(j)以使在Tacq之后,頻率變化
相對較慢并且頻率值不超出額定值周圍特定的最小值和最大值限制。 這可以通過采取下文中的措施來實現(xiàn)。
第一措施是預設時鐘產(chǎn)生和/或倍增單元的啟動條件,從而設置 初始的啟動頻率。例如這可以通過預設狀態(tài)存儲元素(如電容器電壓、 電感電流、偏置電壓、電流源值和/或數(shù)字寄存器狀態(tài))來實現(xiàn)。第 二措施涉及到突發(fā)模式數(shù)據(jù)通信,其中對于連讀的數(shù)據(jù)突發(fā),系統(tǒng)通 常將以類似的比特速率運行。因此,可以認為前一突發(fā)的時鐘產(chǎn)生器 狀態(tài)是下一突發(fā)的準確預設值,從而將顯著地提高啟動時間。第三措 施是,如果需要可以存儲多個不同數(shù)據(jù)速率的預設值。如果事先例如 在前一突發(fā)中或者使用其他線路信號傳輸將下一突發(fā)的數(shù)據(jù)速率以 某種方式傳輸?shù)浇邮諜C,則接收機可使用適當?shù)念A設值以在(幾乎)正確的頻率啟動。第四措施基于以下事實如果系統(tǒng)已知預設值與頻 率的關系,則也可直接對任何頻率來程序化設置所需的預設值。如果 前面措施均不可行,例如在系統(tǒng)重置之后的第一次啟動期間,則可使 用快速獲取輔助來使頻率接近額定頻率。應該注意,在時鐘產(chǎn)生電路 是完全的數(shù)字電路時,如果事先已知期望的頻率值并且可通過數(shù)字預 設的方法將該值加載到寄存器中,以使時鐘產(chǎn)生電路從開始就以期望 頻率運行,則不需要頻率獲取輔助。
圖5是PLL的示意圖500,該PLL使用如圖4中討論的頻率獲 取輔助和預設,并且此外如果系統(tǒng)己知不再需要等待準確的相位穩(wěn)定 時,該PLL在非常短的啟動時間之后是可運行的。例如,發(fā)送機102 已知接收機104可以跟蹤所接收的數(shù)據(jù),即使發(fā)送機102的相位還沒 有穩(wěn)定。即,每單位時間的相位0的改變(表示為c^/A)對于接收 機來說足夠小或者足夠可預知的,足夠接收機能對該變化進行跟蹤并 且能在接收到數(shù)據(jù)時就對數(shù)據(jù)進行恢復。這對進一步減慢實際相位穩(wěn) 定以使模擬環(huán)路行為平滑以及數(shù)字數(shù)據(jù)中的相位跟蹤更容易恢復是 有利的。傳統(tǒng)的穩(wěn)定時間與平滑和穩(wěn)定行為之間的權衡是分離的,以 使得可同時優(yōu)化這些。箭頭502表示相對于圖2的情景,通過使用頻 率獲取輔助、預設以及相位穩(wěn)定之前的相位跟蹤的組合的時間增益。
圖6是在發(fā)送機102和/或接收機104中使用的PLL時鐘倍頻功 能電路600的方框圖。電路600包括如圖4中所討論的頻率預設。電 路600具有相位頻率檢測器602、充電泵604、低通濾波器606、壓 控振蕩器(VOC) 608和分頻器610。部件602-610形成通常的PLL。 根據(jù)本發(fā)明,電路600包括預設模塊612,其以數(shù)字格式存儲電路600 中關鍵節(jié)點上發(fā)生的一個或多個電壓的模擬值。這些值存儲為表示其 中PLL已鎖定并且已穩(wěn)定的狀態(tài)。模塊612包括模數(shù)轉換器(ADC)、 一個或多個寄存器以及數(shù)模轉換器(DAC)。在前一數(shù)據(jù)傳輸期間 已加載或者捕獲了所存儲的值。可替換地,系統(tǒng)IOO包括用于控制預 設模塊612的控制器(未示出),其中該控制器根據(jù)關于即將傳輸?shù)?預定信息來確定要存儲什么值。 一旦重啟,預設模塊612改變相關電 容器以使它們具有與所存儲的值相應的電壓,因此加速了穩(wěn)定。圖7是在發(fā)送機102和/或接收機104中使用的另一PLL時鐘倍 頻功能電路700的方框圖。電路700包括預設模塊702以及代替VCO 的電流控制振蕩器(CCO) 704。預設模塊702包括放大電流DAC 和固定的初始控制電壓源。如果不能獲得DAC預設值,則可數(shù)字化 地粗調諧該環(huán)路。通過控制電壓通過模擬反饋回路來實現(xiàn)微調諧。電 路700的結構的優(yōu)點是它還確保了第一階獨立于設備參數(shù)的控制電 壓的適當?shù)哪M偏置電平的傳播。PLL示例600和700均具有數(shù)字化 地存儲預設值的優(yōu)點。盡管可以模擬狀態(tài)存儲,但是漏電使得不容易 長期存儲準確的模擬值。
優(yōu)選地,接收機104具有充分跟蹤帶寬的快速鎖定操作,以跟 蹤在初始獲取時間之后出現(xiàn)的頻率和相位相對較慢的變化。這使得在 可靠數(shù)據(jù)接收變得可行之前不需要高度穩(wěn)定的時間基準??梢允褂镁?有足夠跟蹤帶寬以及上述啟動增強的模擬相位同步接收機(用于時鐘 和數(shù)據(jù)恢復CDR)。但是,優(yōu)選使用時鐘產(chǎn)生和相位/頻率跟蹤功能 分離的快速鎖定接收機。例如,如果將接收機配置為具有允許異步頻 率的數(shù)字數(shù)據(jù)恢復的過采樣前端,則可以實現(xiàn)該快速鎖定接收機。術 語"異步"在本文的意思是輸入數(shù)據(jù)速率和接收機104中產(chǎn)生的時鐘 可以具有任意分數(shù)比率,因此不僅僅是整數(shù)或接近整數(shù)的比率。
在這種情況下,(模擬)發(fā)送和接收時鐘產(chǎn)生PLL (和/或DLL) 都可以具有上述的啟動增強。數(shù)字數(shù)據(jù)恢復對來自發(fā)送機102和接收 機104中時鐘產(chǎn)生模塊的穩(wěn)定期間發(fā)生的慢頻率(和相位)變化累積、 時鐘頻譜擴展及其他進行跟蹤。這種方法的有益的優(yōu)點是(模擬/混 合信號)頻率產(chǎn)生行為已在很大程度上與(數(shù)字的)相位跟蹤分離。 可實現(xiàn)更快的數(shù)字跟蹤。由于環(huán)路的穩(wěn)定性以及對平滑濾波相位轉移 特性的需要的原因,時鐘產(chǎn)生/倍增必須相對較慢。圖8是異步接收 機800的示例的方框圖。
接收機800包括可選的前置放大器802、突發(fā)檢測器804、采樣 和相位調整模塊806、多相位PLL 808、本地時鐘810以及數(shù)據(jù)處理 器812。放大器802和突發(fā)檢測器804是連接到接收機800的串行輸 入端。 一旦檢測到數(shù)據(jù)突發(fā),檢測器804就使得PLL 808能從本地時鐘810接收參考時鐘信號。放大器802在采樣數(shù)據(jù)并且在PLL 808 的控制下調整它們的相位之前放大數(shù)據(jù)。在模塊806過采樣數(shù)據(jù)并且 將其提供給處理器812用于恢復。處理器812的輸出提供并行的恢復 數(shù)據(jù)。
本發(fā)明中通信鏈路的另一重要優(yōu)點是在兩端(發(fā)送機和接收機)
可使用獨立的參考時鐘頻率。頻率只要變化較慢則不需要具有較高的
絕對精度。這允許使用質量低于晶體振蕩器的本地時鐘參考裝置; 在雙單工鏈路配置的情況下針對發(fā)送機和接收機均使用時鐘產(chǎn)生/倍
增,這是因為接收機功能不再需要數(shù)據(jù)時鐘同步;通過使用以特定時 鐘頻率運行的同一接收機來接收多個不同的比特速率,只要數(shù)字數(shù)據(jù) 恢復能覆蓋該范圍即可。
例如,下文是通信系統(tǒng)從斷電狀態(tài)的快速啟動的情景。首先, 發(fā)送機102和接收機104處于斷電狀態(tài)。發(fā)送機時鐘產(chǎn)生和接收機時 鐘產(chǎn)生已經(jīng)斷電,并且IO處于低功耗狀態(tài)。接著,系統(tǒng)100的發(fā)送 機端向接收機端發(fā)出發(fā)送請求。發(fā)送機102啟動其時鐘產(chǎn)生,給IO 上電并且向接收機104發(fā)送突發(fā)將要到來的信號,使得接收機104 也可以給其時鐘產(chǎn)生上電。接收機104通過喚醒作出響應,并且激活 必須的IO功能,并且啟動其時鐘產(chǎn)生??商鎿Q地,在特定系統(tǒng)配置 中,接收機104和發(fā)送機102事先已知什么時候喚醒并使用相關信息 給其時鐘產(chǎn)生上電(也可能是同時的)。在獲取之后,發(fā)送機102 開始傳輸一定時間周期的訓練序列,以使接收機104鎖定。特別對于 異步接收機來說,該時間周期與傳統(tǒng)模擬PLL相位同步周期相比可 以較短。接收機104的性能可以傳輸?shù)讲⒋鎯υ诎l(fā)送機102中,或者 在發(fā)送機102中程序化以適應訓練序列的最佳長度。此后,通過鏈路 106傳輸編碼數(shù)據(jù)。例如該編碼可以使用8B10B。可通過適當?shù)漠惓?碼字來表示突發(fā)中有效數(shù)據(jù)的開始和結束。(如果可行)在傳輸結束 處可存儲一個預設值,參見上文。在傳輸結束后,可以通過停止時鐘 產(chǎn)生并且使10回到低功耗狀態(tài)來將發(fā)送機102和接收機104斷電。
如果時鐘倍增單元的參考時鐘頻率較低,可以分兩步執(zhí)行時鐘 倍增,以加速總的啟動時間。接著第一 PLL倍增參考頻率,以獲得特定的中間頻率,并且第二 PLL倍增該中間頻率來創(chuàng)建期望頻率。
可選地,可以一直保持第一PLL運行,這是由于其較低的時鐘速率, 其功耗小于第二 PLL。可替換地,可以配置第一 PLL的控制環(huán)路, 以使其盡可能的快。但是,這在輸出端將產(chǎn)生相當令人不安的 (nervous)相位行為(僅穩(wěn)定)。接著,第二 PLL接收更高的輸入 頻率。這表示在沒有實際增加總的穩(wěn)定時間的情況下,第二 PLL可 以濾除輸入相位的較大改變,只要第二 PLL的絕對環(huán)路帶寬大于第 一 PLL的即可。以這種方式,第二 PLL的環(huán)路對兩個級聯(lián)的驅動PLL 的時鐘倍增的總相位行為進行平滑。接收機此時必須跟蹤這些平滑改 變。對于較大的倍增因子,可以將該原理擴展到更多級聯(lián)PLL中的 三個PLL。因此,每個時鐘倍增單元可能包括一個或多個PLL。這種 時鐘倍增的再分有利于同步、異步以及準同步接收機結構。
如果完全優(yōu)化上述數(shù)據(jù)通信方法的啟動時間并且仍然還有一些 剩余啟動時間,則存在一種方法可以使啟動時間(如在更高電平所觀 察到的)更短。這種方法使用附加的方法通過可獲得的相同的線路或 鏈路來傳輸數(shù)據(jù),這不依賴于高速倍增。當已經(jīng)存在使用該附加的方 法傳輸?shù)臄?shù)據(jù)時,利用該附加的通信方法來訓練高速模式是有利的。
在最佳的情況下,該方法允許用該額外方法來進行數(shù)據(jù)通信, 直到所述第一通信方法已準備好傳輸數(shù)據(jù),接著以平滑的方式(即, 沒有低頻干擾和間隔)從一種發(fā)送信號方法切換到另一種。由于在先 的學習時間(在僅使用所述第一方法的情況下)的消失,這將得到鏈 路的最佳性能。優(yōu)選地,這種附加的方法是一種通信在不需要同步的 情況下即刻從斷電狀態(tài)開始運行的方法,例如使用數(shù)據(jù)選通或者一位 間隔熱碼(one-spaced-hot)編碼。 一位間隔熱碼編碼使用"0"信號 和"1"信號。表示脈沖的信號確定極性。通過間隔周期來分離脈沖 以避免脈沖及邊緣的重疊。請參照表示在MIPI D-PHY規(guī)范中使用的 該信號發(fā)送的圖9??商鎿Q地,可以不立即運行附加的通信方法,但 是與運行的所述第一方方法相比較快。例如,使用曼徹斯特編碼或脈 寬調制編碼來實現(xiàn)快速。例如可使用由低周期之后的兩個高周期組成 的符號1以及由低周期之后的一個高周期組成的符號0來實現(xiàn)后者。這傳輸每三個周期具有一個下降沿的數(shù)據(jù)流。調制上升沿的位置。這 可以容易地通過重置用時鐘比較器和積分器來恢復。當然,可以以類 似的方式來交換所有的極性作為另一種選擇。
通常,只要這種附加的通信方法在斷電之后可以快于所述第一 通信方法運行,就可利用該附加的通信方法傳輸來將所述第一通信方 法的啟動時間間隔用于使用附加方法的傳輸,而同時又能訓練鏈路, 以稍后切換到所述第一方法。
例如,附加的通信方法可以是無終端接頭的CMOS信號發(fā)送或
者是低擺幅差分無終端接頭的信號發(fā)送。如果可以從一種通信方法平 滑快速地轉換到另一種通信方法,則尤其有益。這在任何時候能及時 為兩種方法明確定義線路狀態(tài)的情況下是可行的。例如,在具有或不 具有遠端線路終端的同一共模位準的差分信號發(fā)送遵循該需求。圖
10是為這種雙差分模式運行(終端和非終端)配置的接收機1000的 方框圖。接收機1000具有線路終端模塊1002,該模塊可以選擇性地 是開啟或斷開的。在低功率(LP)啟動模式中,將數(shù)據(jù)通信按路線 發(fā)送到其輸出信號提供給低通濾波器1006的LP差分放大器1004。 濾波器1006的輸出向模塊1008中的LP通信處理提供信號。同時也 將濾波器1006的輸出提供給包括另一低通濾波器1010、單穩(wěn)態(tài)電路 1012、設置重置觸發(fā)器1014以及狀態(tài)機1016的控制部分。在高速 (HS)模式中,數(shù)據(jù)通信從模塊1002按路線發(fā)送到具有時鐘和數(shù)據(jù) 恢復功能的HS差分放大器1018。將放大器1018的輸出提供給字同 步單元1020。單元1020的輸出連接到單元1022的輸入,以提取供 給狀態(tài)機1016的控制數(shù)據(jù)。單元1022向8B10B解碼器1024提供該 數(shù)據(jù)。
狀態(tài)機1016從模塊1008、觸發(fā)器1014以及單元1022接收控制 輸入。狀態(tài)機1016具有連接到設置重置觸發(fā)器1014、用于控制器偏 置的放大器1004以及用于使能或禁用后者的放大器1018的控制輸 出。
圖11是在接收機1000的雙差分模式運行中的流程圖1100。該 流程僅涉及到放大器1004和1018具有相同共模位準的差分信號發(fā)送。LP信號發(fā)送模式使用0.4伏特的源終端、遠端開放結構。HS信 號發(fā)送模式使用0.2的源終端和遠端終端結構(DC電源)。這使無 低頻干擾的模式以連續(xù)地足夠好確定的線路狀態(tài)切換。低通濾波器 1010的濾波防止了差錯喚醒警告。在步驟1102中,稱作ULPS (超 低功率狀態(tài)),LP電路以非常低的電流處于待用狀態(tài),如幾-。其 他所有電路被切斷。在步驟1104中,接收機1000從ULPS狀態(tài)中喚 醒,這允許占用一些時間。當在步驟1106中啟動HS部件時,LP部 件也開始起作用,并且在步驟1108中時鐘電路獲得同步。在步驟1110 中,可操作HS數(shù)據(jù)通信來處理數(shù)據(jù)凈載荷。在步驟U12中,停止 HS處理,并且在1116步驟中進入ULPS之前使LP部件保持待用。
權利要求
1.一種數(shù)據(jù)通信系統(tǒng),包括具有第一時鐘產(chǎn)生電路的發(fā)送機和具有第二時鐘產(chǎn)生電路的接收機,其中-在通信中的連續(xù)的數(shù)據(jù)突發(fā)之間將第一時鐘產(chǎn)生電路和第二時鐘產(chǎn)生電路中的至少一個特定的時鐘產(chǎn)生電路斷電;-該系統(tǒng)具有用于加速數(shù)據(jù)通信系統(tǒng)在特定的時鐘產(chǎn)生電路斷電之后的運行使用的啟動的裝置;-所述裝置包括預設裝置,用于將特定的時鐘產(chǎn)生電路在啟動時的運行量預設為預定值。
2. 如權利要求l所述的系統(tǒng),
3. 如權利要求1所述的系統(tǒng),
4. 如權利要求1所述的系統(tǒng), 獲取輔助。其中所述運行量是個模擬量。 其中所述運行量是個數(shù)字量。 其中特定時鐘產(chǎn)生電路包括頻率
5. 如權利要求l所述的系統(tǒng),其中預設裝置將在前一數(shù)據(jù)突發(fā)期間存儲的前一個運行量的值用作下一數(shù)據(jù)突發(fā)的通信中使用的預 定值。
6. 如權利要求l所述的系統(tǒng),其中將發(fā)送機配置為在第一時鐘產(chǎn)生電路中的時鐘信號的屬性已穩(wěn)定之前開始向接收機傳輸數(shù)據(jù),并 且其中將接收機配置為在所述屬性穩(wěn)定時跟蹤所接收到的數(shù)據(jù)。
7. 如權利要求6所述的系統(tǒng),其中所述屬性是時鐘頻率。
8. 如權利要求6所述的系統(tǒng),其中所述屬性是時鐘相位。
9.如權利要求6所述的系統(tǒng),其中-所述系統(tǒng)具有用于在系統(tǒng)的運行使用中進行數(shù)據(jù)通信的運行 模式,以及用于為運行使用而對系統(tǒng)進行配置的配置模式;-在配置模式中,發(fā)送機和接收機中的特定的一個向發(fā)送機和接收機中的另一個傳輸關于所述屬性的信息;以及-所述系統(tǒng)對發(fā)送機和接收機中的另一個進行配置,使得能在 所述屬性穩(wěn)定之前進行數(shù)據(jù)通信。
全文摘要
一種數(shù)據(jù)通信系統(tǒng),包括具有第一時鐘產(chǎn)生電路的發(fā)送機和具有第二時鐘產(chǎn)生電路的接收機。在連續(xù)的數(shù)據(jù)突發(fā)之間將時鐘產(chǎn)生電路中的至少一個特定的時鐘產(chǎn)生電路斷電。所述系統(tǒng)加速了該系統(tǒng)在特定的時鐘產(chǎn)生電路斷電之后的運行使用的啟動。所述系統(tǒng)將特定的時鐘產(chǎn)生電路在啟動時的運行量預設為預定值。
文檔編號H04L7/04GK101622814SQ200880006851
公開日2010年1月6日 申請日期2008年2月29日 優(yōu)先權日2007年3月2日
發(fā)明者赫里特·W·登貝斯滕 申請人:Nxp股份有限公司
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評論。精彩留言會獲得點贊!
1