午夜毛片免费看,老师老少妇黄色网站,久久本道综合久久伊人,伊人黄片子

應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊的制作方法

文檔序號(hào):10623976閱讀:891來(lái)源:國(guó)知局
應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊的制作方法
【專利摘要】本發(fā)明涉及一種應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的衛(wèi)星導(dǎo)航模塊,包括天線、射頻模塊、基帶信號(hào)處理模塊、應(yīng)用信息處理模塊和電源,所述射頻模塊分別與天線和基帶信號(hào)處理模塊連接,基帶信號(hào)處理模塊與應(yīng)用信息處理模塊在同一塊FPGA中實(shí)現(xiàn),電源模塊為整個(gè)衛(wèi)星導(dǎo)航模塊提供電源。本發(fā)明同時(shí)兼容GPS和BD兩種導(dǎo)航系統(tǒng),支持三種定位模式,采用偽碼捕快技術(shù)和多階環(huán)路跟蹤載波技術(shù),可充分適應(yīng)高速度、高加速度載體的高精度定位和導(dǎo)航需求,有效提高系統(tǒng)的連續(xù)性、可靠性、導(dǎo)航精度及可用性,在農(nóng)業(yè)物聯(lián)網(wǎng)應(yīng)用中,可實(shí)現(xiàn)對(duì)農(nóng)業(yè)信息資源進(jìn)行準(zhǔn)確的定位。
【專利說(shuō)明】
應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊
技術(shù)領(lǐng)域
[0001]本發(fā)明涉及一種衛(wèi)星導(dǎo)航模塊,尤其涉及一種應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊。
【背景技術(shù)】
[0002]傳統(tǒng)農(nóng)業(yè)生產(chǎn)模式已遠(yuǎn)不能適應(yīng)農(nóng)業(yè)可持續(xù)發(fā)展的要求,利用現(xiàn)代信息技術(shù)轉(zhuǎn)變農(nóng)業(yè)生產(chǎn)方式成為當(dāng)今農(nóng)業(yè)發(fā)展的普遍趨勢(shì)。物聯(lián)網(wǎng)技術(shù)是新一代信息技術(shù),在農(nóng)業(yè)資源利用、農(nóng)業(yè)生態(tài)環(huán)境監(jiān)測(cè)、農(nóng)業(yè)生產(chǎn)、農(nóng)產(chǎn)品安全監(jiān)管等領(lǐng)域已得廣泛應(yīng)用,對(duì)提高資源利用率、環(huán)境保護(hù)、節(jié)本增收、產(chǎn)品質(zhì)量等方面發(fā)揮了重要作用。
[0003]在農(nóng)業(yè)物聯(lián)網(wǎng)應(yīng)用中,需要對(duì)農(nóng)業(yè)信息資源進(jìn)行準(zhǔn)確的定位,而采用傳統(tǒng)方法難以實(shí)現(xiàn)。衛(wèi)星導(dǎo)航技術(shù)具有精確定位與授時(shí)功能,美國(guó)的GPS系統(tǒng)和我國(guó)的北斗導(dǎo)航系統(tǒng)在農(nóng)業(yè)領(lǐng)域中已經(jīng)有了一定的應(yīng)用和發(fā)展。但是,單一制式的導(dǎo)航系統(tǒng)在系統(tǒng)的連續(xù)性、可靠性、導(dǎo)航精度性、可用性等方面遠(yuǎn)不如多模兼容衛(wèi)星導(dǎo)航系統(tǒng),另外在定位導(dǎo)航時(shí)存在嚴(yán)重的定位漂移問(wèn)題,難以實(shí)現(xiàn)對(duì)高動(dòng)態(tài)、高加速度物體的精準(zhǔn)定位和導(dǎo)航。

【發(fā)明內(nèi)容】

[0004]本發(fā)明目的在于針對(duì)上述存在的問(wèn)題,提供一種應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊,同時(shí)兼容GPS和BD兩種導(dǎo)航系統(tǒng),充分適應(yīng)高速度,高加速度載體的高精度定位和導(dǎo)航需求。
[0005]本發(fā)明的技術(shù)方案是:應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊,包括天線、射頻模塊、基帶信號(hào)處理模塊、信息處理模塊和電源模塊,其中,所述天線為GPS/BD雙頻天線,用于完成GPS和BD衛(wèi)星信號(hào)的接收;所述射頻模塊與基帶信號(hào)處理模塊連接,用于完成信號(hào)的預(yù)放大、變頻射頻信號(hào)轉(zhuǎn)換為標(biāo)準(zhǔn)的中頻信號(hào)及提供時(shí)鐘信號(hào);所述基帶信號(hào)處理模塊與應(yīng)用信息處理模塊在同一塊FPGA中實(shí)現(xiàn),主要完成碼捕獲、通道相關(guān)器、觀測(cè)向量的測(cè)量、導(dǎo)航電文解調(diào);所述應(yīng)用信息處理模塊主要用于完成對(duì)基帶信號(hào)處理模塊的觀測(cè)信息和衛(wèi)星電文信息進(jìn)行數(shù)據(jù)轉(zhuǎn)換和融合,實(shí)現(xiàn)對(duì)實(shí)時(shí)導(dǎo)航信息的解算;所述電源模塊用于為整個(gè)接收機(jī)系統(tǒng)提供電源。
[0006]所述射頻模塊包括BD射頻模塊和GPS射頻模塊,BD射頻模塊用于輸出IF-B3信號(hào)和1MHz時(shí)鐘信號(hào),GPS射頻模塊用于輸出IF-Ll信號(hào)和1MHz外時(shí)鐘信號(hào)。
[0007]所述基帶信號(hào)處理模塊包括24個(gè)獨(dú)立的數(shù)字接收通道,同時(shí)跟蹤多顆衛(wèi)星信號(hào),每個(gè)通道主要完成碼捕獲、環(huán)路跟蹤、解擴(kuò)解調(diào)和測(cè)距功能。
[0008]所述碼捕獲采用數(shù)字匹配濾波器+FFT快速捕獲技術(shù),碼捕獲大體工作流程如下: 首先對(duì)接收信號(hào)進(jìn)行下變頻,并進(jìn)行3點(diǎn)平均,得到同相和正交支路基帶信號(hào),然后通過(guò)數(shù)字匹配濾波器;數(shù)字匹配濾波器包括24個(gè)分段匹配濾波器,每個(gè)分段匹配濾波器大約提供0.0833ms的相干積分時(shí)間,并分時(shí)對(duì)同相和正交基帶信號(hào)進(jìn)行處理,采用通道復(fù)用技術(shù)節(jié)省FPGA資源;24個(gè)分段匹配濾波器的輸出送往FFT單元進(jìn)行頻譜分析,完成載頻搜索;FFT單元的結(jié)果送往非相干積累單元進(jìn)行積累;非相干積累達(dá)到規(guī)定次數(shù)(1-3次)后, 進(jìn)行檢測(cè)判決。
[0009]所述環(huán)路跟蹤功能主要包括相關(guān)器、載波環(huán)路控制、偽碼環(huán)路控制和載噪比估計(jì)。
[0010]所述相關(guān)器每路均有獨(dú)立的6路積分信號(hào)輸出和2路測(cè)量信號(hào)輸出,共用一個(gè)采樣時(shí)鐘和一個(gè)測(cè)量取樣時(shí)鐘;其中,相關(guān)器間距可配置,碼發(fā)生器產(chǎn)生或存儲(chǔ)所有已知衛(wèi)星導(dǎo)航系統(tǒng)中的偽隨機(jī)碼,保證跟蹤通道的通用性。
[0011]所述載波環(huán)路控制和偽碼環(huán)路控制完成對(duì)載波頻率/相位和偽碼相位的估計(jì),實(shí)現(xiàn)載波和偽碼的精確同步,并通過(guò)載噪比估計(jì)的方法判斷環(huán)路的鎖定狀態(tài)。
[0012]所述載波環(huán)路控制,主要包括鎖頻環(huán)FLL、鎖相環(huán)PLL、歸一化的點(diǎn)積鑒別器和歸一化的超前減滯后功率器鑒相器;所述鎖頻環(huán)參數(shù)設(shè)計(jì)為:選取FLL積分長(zhǎng)度lms,通過(guò)仿真確定環(huán)路帶寬;所述鎖相環(huán)參數(shù)設(shè)計(jì)為:選取PLL積分長(zhǎng)度lms,通過(guò)仿真確定環(huán)路帶寬; 所述歸一化的點(diǎn)積鑒別器的增益為2 ;所述歸一化的超前減滯后功率鑒別器的增益為4。
[0013]本發(fā)明的有益效果:應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊,同時(shí)兼容GPS和BD兩種導(dǎo)航系統(tǒng),支持三種定位模式,定位模式可自動(dòng)切換,也可手動(dòng)設(shè)置成任意定位模式。通過(guò)采用偽碼快捕技術(shù)和多階環(huán)路跟蹤載波技術(shù),能充分適應(yīng)高速度、高加速度載體的高精度定位和導(dǎo)航需求, 有效提高系統(tǒng)的連續(xù)性、可靠性、導(dǎo)航精度及可用性,在農(nóng)業(yè)物聯(lián)網(wǎng)應(yīng)用中,可實(shí)現(xiàn)對(duì)農(nóng)業(yè)信息資源進(jìn)行準(zhǔn)確的定位。【附圖說(shuō)明】[〇〇14] 圖1為應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊組成框圖;圖2為射頻模塊的組成及原理框圖;圖3為數(shù)字接收通道組成及原理框圖;圖4為數(shù)字匹配濾波器結(jié)構(gòu)框圖;圖5為相關(guān)器和NC0結(jié)構(gòu)框圖;圖6為FLL環(huán)路帶寬與熱噪聲關(guān)系圖;圖7為FLL環(huán)路帶寬與動(dòng)態(tài)應(yīng)力關(guān)系圖;圖8為PLL環(huán)路帶寬與熱噪聲關(guān)系圖;圖9為PLL環(huán)路帶寬與動(dòng)態(tài)應(yīng)力關(guān)系圖;圖10為歸一化點(diǎn)積鑒相器特性曲線圖;圖11為歸一化超前減滯鑒相器特性曲線;圖12為二階DLL偽碼環(huán)路濾波器結(jié)構(gòu)圖;圖13為FLL鑒頻結(jié)果;圖14為PLL鑒相結(jié)果;圖15為DLL偽碼鑒相結(jié)果?!揪唧w實(shí)施方式】
[0015] 下面結(jié)合附圖對(duì)本發(fā)明的最佳實(shí)施例作進(jìn)一步描述:如圖1所示,應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊主要包括天線、射頻模塊、基帶信號(hào)處理模塊、應(yīng)用信息處理模塊和電源模塊。其中,所述天線為GPS/BD雙頻天線,用于完成GPS和BD衛(wèi)星信號(hào)的接收;所述射頻模塊與基帶信號(hào)處理模塊連接,用于完成信號(hào)的預(yù)放大、變頻射頻信號(hào)轉(zhuǎn)換為標(biāo)準(zhǔn)的中頻信號(hào)及提供時(shí)鐘信號(hào);所述基帶信號(hào)處理模塊與應(yīng)用信息處理模塊在同一塊FPGA中實(shí)現(xiàn),主要完成碼捕獲、通道相關(guān)器、觀測(cè)向量的測(cè)量、 導(dǎo)航電文解調(diào);所述應(yīng)用信息處理模塊主要用于完成對(duì)基帶信號(hào)處理模塊的觀測(cè)信息和衛(wèi)星電文信息進(jìn)行數(shù)據(jù)轉(zhuǎn)換和融合,實(shí)現(xiàn)對(duì)實(shí)時(shí)導(dǎo)航信息的解算;所述電源模塊用于為整個(gè)接收機(jī)系統(tǒng)提供電源。[〇〇16]應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊的工作原理為:通過(guò)天線模塊接收來(lái)自空間段BD和GPS衛(wèi)星發(fā)射的無(wú)線電信號(hào),GPS/BD衛(wèi)星信號(hào)經(jīng)過(guò)射頻模塊和基帶信號(hào)處理模塊,完成對(duì)信號(hào)的解調(diào)和觀測(cè)量的實(shí)時(shí)提取,然后將衛(wèi)星信息和觀測(cè)信息傳輸至應(yīng)用信息處理模塊完成對(duì)當(dāng)前觀測(cè)時(shí)刻的位置信息、速度信息和守時(shí)信息的解算及完好性監(jiān)測(cè), 并進(jìn)行捕獲跟蹤層次的慣導(dǎo)信息融合、原始觀測(cè)量層次和位置速度層次的慣導(dǎo)信息融合, 整個(gè)接收機(jī)系統(tǒng)的供電由電源模塊統(tǒng)一完成。[〇〇17]射頻模塊主要承擔(dān)BD信號(hào)和GPS信號(hào)的接收任務(wù),并同時(shí)提供相干時(shí)鐘信號(hào)。射頻模塊的組成及原理框圖如圖2所示,天線送來(lái)的BD B1及GPS L1兩路微弱衛(wèi)星信號(hào)經(jīng)各自對(duì)應(yīng)的低噪聲放大器放大及長(zhǎng)電纜傳輸后再送入對(duì)應(yīng)的射頻模塊,信號(hào)在射頻模塊內(nèi)經(jīng)混頻、AGC放大、基帶濾波并放大到+4dBm電平后輸出給基帶信號(hào)處理模塊。
[0018]基帶信號(hào)處理模塊包括24個(gè)獨(dú)立的接收通道,同時(shí)跟蹤多顆衛(wèi)星信號(hào),每一個(gè)通道完成BD的偽碼或GPS CA碼的捕獲、跟蹤、解擴(kuò)解調(diào)和測(cè)距。
[0019]數(shù)字處理接收通道設(shè)計(jì)數(shù)字處理接收通道設(shè)計(jì)原理如圖3所示,將數(shù)字通道設(shè)計(jì)成24路,同時(shí)對(duì)多顆衛(wèi)星連續(xù)跟蹤,關(guān)鍵是調(diào)制在I支路上的短碼(C碼)的快速捕獲、精確跟蹤和觀測(cè)量的提取。
[0020]碼捕獲設(shè)計(jì)為提高捕獲速度,對(duì)于碼的捕獲采用匹配濾波+FFT快速捕獲技術(shù),可以實(shí)現(xiàn)接收信號(hào)與本地碼序列連續(xù)相關(guān)運(yùn)算,并同時(shí)完成頻率鑒別。數(shù)字匹配濾波器結(jié)構(gòu)如圖4所示。首先對(duì)接收信號(hào)下變頻,并進(jìn)行3點(diǎn)平均,得到同相和正交支路基帶信號(hào),然后將其通過(guò)匹配濾波器。整個(gè)匹配濾波器包含24個(gè)分段匹配濾波器CMFn (n=l到24),每個(gè)CMFn大約提供0.0833ms的相干積分時(shí)間,并分時(shí)對(duì)同相和正交基帶信號(hào)進(jìn)行處理,通過(guò)復(fù)用的方法來(lái)節(jié)省資源;24個(gè)分段匹配濾波器的輸出接著送往FFT單元進(jìn)行頻譜分析,來(lái)完成載頻搜索; FFT的結(jié)果再送非相干積累單元進(jìn)行積累。當(dāng)非相干積累達(dá)到規(guī)定次數(shù)(1-3次)后,最后進(jìn)行檢測(cè)判決。
[0021]環(huán)路跟蹤設(shè)計(jì)環(huán)路跟蹤功能主要包括相關(guān)器、載波和偽碼環(huán)路控制、載噪比估計(jì)及結(jié)合環(huán)路數(shù)據(jù)產(chǎn)生測(cè)量數(shù)據(jù)。相關(guān)器的功能包括了載波解調(diào)和偽碼解擴(kuò)兩個(gè)過(guò)程,解調(diào)和解擴(kuò)后的信號(hào)再通過(guò)一個(gè)積分清除器(相當(dāng)于低通濾波器)輸出,圖5表示了一路相關(guān)器的實(shí)現(xiàn)結(jié)構(gòu)。每路相關(guān)器都有獨(dú)立的6路積分信號(hào)輸出和2路測(cè)量信號(hào)輸出,所有的相關(guān)器支路都共用一個(gè)采樣時(shí)鐘和一個(gè)測(cè)量取樣時(shí)鐘。其中相關(guān)器間距可配置,而且碼發(fā)生器/碼表產(chǎn)生或存儲(chǔ)所有已知衛(wèi)星導(dǎo)航系統(tǒng)中的偽隨機(jī)碼,保證跟蹤通道的通用性。載波和偽碼環(huán)路控制完成對(duì)載波頻率/相位和偽碼相位的估計(jì),以實(shí)現(xiàn)載波和偽碼的精確同步,并通過(guò)載噪比估計(jì)方法判斷環(huán)路的鎖定狀態(tài)。
[0022]載波環(huán)路濾波器結(jié)構(gòu)設(shè)計(jì)載波環(huán)路濾波器主要包括鎖頻環(huán)FLL、鎖相環(huán)PLL、歸一化的點(diǎn)積鑒別器和歸一化的超前減滯后功率器鑒相器。
[0023]鎖頻環(huán)參數(shù)設(shè)計(jì)選取FLL積分長(zhǎng)度為lms,通過(guò)仿真確定環(huán)路帶寬,以滿足系統(tǒng)動(dòng)態(tài)指標(biāo)要求。仿真結(jié)果如圖6、7所示。
[0024]鎖相環(huán)參數(shù)設(shè)計(jì)選取PLL積分長(zhǎng)度為lms,通過(guò)仿真確定環(huán)路帶寬,以滿足系統(tǒng)動(dòng)態(tài)指標(biāo)要求。仿真結(jié)果如圖8、9所示。
[0025]DLL鑒相算法歸一化的點(diǎn)積鑒別器:歸一化點(diǎn)積鑒相器特性如圖10所示。歸一化點(diǎn)積鑒相器在零點(diǎn)的斜率為2,因此歸一化點(diǎn)積鑒相器的增益為2。歸一化的超前減滯后功率鑒別器:歸一化超前減滯后功率鑒相器特性如圖11所示。歸一化超前減滯后功率鑒相器在零點(diǎn)的斜率為4,因此歸一化超前減滯后鑒相器的增益為4。歸一化的超前減滯后功率鑒相器具有適于窄相關(guān)間距的優(yōu)點(diǎn),其增益較大和鑒相靈敏度比較高,但線性牽引范圍比點(diǎn)積鑒別器小一些,適用于后期的精密跟蹤。[〇〇26]偽碼環(huán)路濾波器結(jié)構(gòu)設(shè)計(jì)本設(shè)計(jì)采用二階環(huán)路濾波器結(jié)構(gòu),如圖12所示。采用載波輔助方法來(lái)消除大部分的碼多普勒頻率,降低偽碼相位跟蹤環(huán)的階數(shù),簡(jiǎn)化偽碼相位跟蹤環(huán)設(shè)計(jì)。
[0027]環(huán)路跟蹤仿真結(jié)果仿真環(huán)境:初始速度:l〇〇〇m/s ;加速度:20g/s,如圖13、圖14、圖15所示。
[0028]應(yīng)用信息處理模塊主要用于完成對(duì)基帶信號(hào)處理模塊的GPS和BD觀測(cè)信息和衛(wèi)星電文信息進(jìn)行數(shù)據(jù)轉(zhuǎn)換和融合,實(shí)現(xiàn)對(duì)實(shí)時(shí)導(dǎo)航信息的解算。主要功能包括多星座數(shù)據(jù)融合處理和通道配置。
[0029]多星座數(shù)據(jù)融合處理多星座數(shù)據(jù)融合處理主要完成對(duì)數(shù)據(jù)源的正確采集,共性提取和統(tǒng)一偏差修正;統(tǒng)一多星座衛(wèi)星時(shí)間系統(tǒng)和坐標(biāo)系統(tǒng)。所需的原始觀測(cè)量和導(dǎo)航電文等信息由信號(hào)處理模塊給出。二者通過(guò)串口進(jìn)行交互,采用命令-響應(yīng)模式,即信息處理模塊給出數(shù)據(jù)請(qǐng)求命令,信號(hào)處理模塊根據(jù)命令,通過(guò)串口送出響應(yīng)的數(shù)據(jù)。為了能夠在數(shù)據(jù)請(qǐng)求時(shí)刻給出對(duì)應(yīng)的信息,信號(hào)處理模塊需要對(duì)觀測(cè)量和導(dǎo)航電文首先進(jìn)行緩存。
[0030]通道配置12個(gè)通道分配給GPS,12個(gè)通道分配給BD。通道分配由信息處理模塊完成計(jì)算,通過(guò)發(fā)送命令,預(yù)置給信號(hào)處理模塊。
[0031]本發(fā)明并不限于上文討論的實(shí)施方式。以上對(duì)【具體實(shí)施方式】的描述旨在于為了描述和說(shuō)明本發(fā)明涉及的技術(shù)方案?;诒景l(fā)明啟示的顯而易見(jiàn)的變換或替代也應(yīng)當(dāng)被認(rèn)為落入本發(fā)明的保護(hù)范圍。以上的【具體實(shí)施方式】用來(lái)揭示本發(fā)明的最佳實(shí)施方法,以使得本領(lǐng)域的普通技術(shù)人員能夠應(yīng)用本發(fā)明的多種實(shí)施方式以及多種替代方式來(lái)達(dá)到本發(fā)明的目的。
【主權(quán)項(xiàng)】
1.應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊,其特征在于:包括天線、射頻模塊、基 帶信號(hào)處理模塊、應(yīng)用信息處理模塊和電源模塊,所述天線為GPS/BD雙頻天線,用于完成GPS和BD衛(wèi)星信號(hào)的接收;所述射頻模塊與基帶信號(hào)處理模塊連接,用于完成信號(hào)的預(yù)放大、變頻射頻信號(hào)轉(zhuǎn)換 為標(biāo)準(zhǔn)的中頻信號(hào)及提供時(shí)鐘信號(hào);所述基帶信號(hào)處理模塊與應(yīng)用信息處理模塊在同一塊FPGA中實(shí)現(xiàn),主要完成碼捕獲、 通道相關(guān)器、觀測(cè)向量的測(cè)量、導(dǎo)航電文解調(diào);所述應(yīng)用信息處理模塊主要用于完成對(duì)基帶信號(hào)處理模塊的GPS和BD觀測(cè)信息和GPS 和BD的衛(wèi)星電文信息進(jìn)行數(shù)據(jù)轉(zhuǎn)換和融合,實(shí)現(xiàn)對(duì)實(shí)時(shí)導(dǎo)航信息的解算;所述電源模塊用于為整個(gè)接收機(jī)系統(tǒng)提供電源。2.根據(jù)權(quán)利要求1所述的應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊,其特征在于, 所述射頻模塊包括BD射頻模塊和GPS射頻模塊;所述BD射頻模塊用于輸出IF-B3信號(hào)和 10MHz時(shí)鐘信號(hào);所述GPS射頻模塊用于輸出IF-L1信號(hào)和10MHz外時(shí)鐘信號(hào)。3.根據(jù)權(quán)利要求1所述的應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的多模衛(wèi)星導(dǎo)航模塊,其特征在于, 所述基帶信號(hào)處理模塊包括24個(gè)獨(dú)立的數(shù)字接收通道;所述數(shù)字接收通道同時(shí)跟蹤多顆 衛(wèi)星信號(hào),每個(gè)通道主要完成碼捕獲、環(huán)路跟蹤、解擴(kuò)解調(diào)和測(cè)距功能。4.根據(jù)權(quán)利要求3所述的應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的衛(wèi)星導(dǎo)航模塊,其特征在于,所述 碼捕獲采用數(shù)字匹配濾波器+FFT快速捕獲技術(shù),碼捕獲大體工作流程如下:首先對(duì)接收信號(hào)進(jìn)行下變頻,并進(jìn)行3點(diǎn)平均,得到同相和正交支路基帶信號(hào),然后通 過(guò)數(shù)字匹配濾波器;所述數(shù)字匹配濾波器包括24個(gè)分段匹配濾波器,每個(gè)分段匹配濾波器大約提供 0.0833ms的相干積分時(shí)間,并分時(shí)對(duì)同相和正交基帶信號(hào)進(jìn)行處理,采用通道復(fù)用技術(shù)節(jié) 省FPGA資源;所述24個(gè)分段匹配濾波器的輸出送往FFT單元進(jìn)行頻譜分析,完成載頻搜索;所述FFT單元的結(jié)果送往非相干積累單元進(jìn)行積累;所述非相干積累達(dá)到規(guī)定次數(shù)(1-3次)后,進(jìn)行檢測(cè)判決。5.根據(jù)權(quán)利要求3所述的應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的衛(wèi)星導(dǎo)航模塊,其特征在于,所述 環(huán)路跟蹤功能主要包括相關(guān)器、載波環(huán)路控制、偽碼環(huán)路控制和載噪比估計(jì)。6.根據(jù)權(quán)利要求5所述的應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的衛(wèi)星導(dǎo)航模塊,其特征在于,所述 相關(guān)器每路均有獨(dú)立的6路積分信號(hào)輸出和2路測(cè)量信號(hào)輸出,共用一個(gè)采樣時(shí)鐘和一個(gè) 測(cè)量取樣時(shí)鐘;其中,相關(guān)器間距可配置,碼發(fā)生器產(chǎn)生或存儲(chǔ)所有已知衛(wèi)星導(dǎo)航系統(tǒng)中的 偽隨機(jī)碼,保證跟蹤通道的通用性。7.根據(jù)權(quán)利要求5所述的應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的衛(wèi)星導(dǎo)航模塊,其特征在于,所述 載波環(huán)路控制和偽碼環(huán)路控制完成對(duì)載波頻率/相位和偽碼相位的估計(jì),實(shí)現(xiàn)載波和偽碼 的精確同步,并通過(guò)載噪比估計(jì)的方法判斷環(huán)路的鎖定狀態(tài)。8.根據(jù)權(quán)利要求7所述的應(yīng)用于農(nóng)業(yè)物聯(lián)網(wǎng)領(lǐng)域的衛(wèi)星導(dǎo)航模塊,其特征在于,所述 載波環(huán)路控制,主要包括鎖頻環(huán)FLL、鎖相環(huán)PLL、歸一化的點(diǎn)積鑒別器和歸一化的超前減 滯后功率器鑒相器;所述鎖頻環(huán)參數(shù)設(shè)計(jì)為:選取FLL積分長(zhǎng)度lms,通過(guò)仿真確定環(huán)路帶 寬; 所述鎖相環(huán)參數(shù)設(shè)計(jì)為:選取PLL積分長(zhǎng)度1ms,通過(guò)仿真確定環(huán)路帶寬;所述歸一化的點(diǎn)積鑒別器的增益為2 ;所述歸一化的超前減滯后功率鑒別器的增益為4。
【文檔編號(hào)】G01S19/33GK105988126SQ201410788295
【公開(kāi)日】2016年10月5日
【申請(qǐng)日】2015年2月15日
【發(fā)明人】傅騫
【申請(qǐng)人】濰坊果殼視界信息科技有限公司
網(wǎng)友詢問(wèn)留言 已有0條留言
  • 還沒(méi)有人留言評(píng)論。精彩留言會(huì)獲得點(diǎn)贊!
1