午夜毛片免费看,老师老少妇黄色网站,久久本道综合久久伊人,伊人黄片子

一種電子對抗仿真系統(tǒng)的制作方法

文檔序號:11250016閱讀:894來源:國知局
一種電子對抗仿真系統(tǒng)的制造方法與工藝

本發(fā)明涉及仿真技術(shù)領(lǐng)域,具體涉及一種電子對抗仿真系統(tǒng)。



背景技術(shù):

科學(xué)技術(shù)的飛速發(fā)展,極大地改變了現(xiàn)代戰(zhàn)場的面貌,其中電子站,即電子對抗,作為一種重要的作戰(zhàn)手段,在現(xiàn)代戰(zhàn)爭中的地位和作用已為世人所共識。電子對抗就是敵對雙方為削弱、破壞對方電子設(shè)備的使用效能、保障己方電子設(shè)備發(fā)揮效能而采取的各種電子措施和行動。由于實(shí)戰(zhàn)演練對于電子抗戰(zhàn)的評估消耗巨大,因此通常采用仿真技術(shù)對電子抗戰(zhàn)進(jìn)行模擬試驗(yàn)。

現(xiàn)有技術(shù)中的電子對抗仿真系統(tǒng)主要由微波暗室、雷達(dá)信號環(huán)境模擬器、雷達(dá)模擬器、射頻信號生成系統(tǒng)、仿真計算機(jī)網(wǎng)絡(luò)和軟件、顯示控制系統(tǒng)、轉(zhuǎn)臺、專用儀器儀表及時統(tǒng)通信設(shè)備等組成。其中雷達(dá)信號模擬器依據(jù)戰(zhàn)情所設(shè)置的各雷達(dá)發(fā)射信號參數(shù),模擬生成各雷達(dá)的微波信號。

現(xiàn)有技術(shù)中雷達(dá)信號模擬源的設(shè)計方法主要分為兩種:第一種是基于數(shù)字儲頻技術(shù)的信號模擬源設(shè)計,第二種是基于直接數(shù)字合成技術(shù)的信號模擬源設(shè)計。第一種技術(shù)設(shè)計的模擬源通用性較強(qiáng),但硬件復(fù)雜度較高,成本高昂;第二種技術(shù)設(shè)計的模擬源硬件簡單、成本低,但是通用性較差,而且難以模擬較復(fù)雜的雷達(dá)信號,往往僅模擬單純的點(diǎn)目標(biāo)回波信號。

而在現(xiàn)代戰(zhàn)場中,由于大量雷達(dá)、導(dǎo)彈武器及通信裝備的使用,戰(zhàn)場電磁環(huán)境異常復(fù)雜,在較小的范圍內(nèi)具有大量的戰(zhàn)場電磁環(huán)境。因此,上述方法對雷達(dá)輻射信號集合的描述效率較低,且不準(zhǔn)確,不滿足電子對抗仿真系統(tǒng)的需求。



技術(shù)實(shí)現(xiàn)要素:

針對現(xiàn)有技術(shù)中的上述缺陷,本發(fā)明提供一種電子對抗仿真系統(tǒng)。

本發(fā)明提供的電子對抗仿真系統(tǒng),包括信號生成模塊,所述信號生成模塊用于根據(jù)接收的場景參數(shù)生成脈沖描述字?jǐn)?shù)據(jù)流,并將所述脈沖描述字?jǐn)?shù)據(jù)流輸出以進(jìn)行信號模擬。

其中,所述系統(tǒng)還包括:控制模塊和信號模擬模塊;所述控制模塊用于根據(jù)接收的設(shè)定指令生成所述場景參數(shù),并發(fā)送所述場景參數(shù)至所述信號生成模塊;所述信號模擬模塊用于根據(jù)從所述信號生成模塊接收的所述脈沖描述字?jǐn)?shù)據(jù)流,模擬并輸出射頻模擬信號。

其中,所述信號生成模塊包括描述字單元、混合排序單元和重疊處理單元;所述描述字單元用于根據(jù)所述場景參數(shù)生成脈沖描述字,并將所述脈沖描述字發(fā)送至所述混合排序單元;所述混合排序單元用于根據(jù)脈沖前沿到達(dá)時間,對多個所述脈沖描述字進(jìn)行排序,獲取脈沖描述字序列,并將所述脈沖描述字序列發(fā)送至所述重疊處理單元;所述重疊處理單元用于對所述脈沖描述字序列中重疊的脈沖進(jìn)行丟棄或合并處理,獲取所述脈沖描述字?jǐn)?shù)據(jù)流,并將所述脈沖描述字?jǐn)?shù)據(jù)流發(fā)送至所述信號模擬模塊。

其中,所述信號模擬模塊包括中頻模擬單元和射頻模擬單元;所述中頻模擬單元用于對所述脈沖描述字?jǐn)?shù)據(jù)流進(jìn)行變頻處理,獲取中頻模擬信號,并將所述中頻模擬信號發(fā)送至所述射頻模擬單元;所述射頻模擬單元用于對所述中頻模擬信號進(jìn)行上變頻處理,獲取射頻模擬信號,并通過注入模式將所述射頻模擬信號注入雷達(dá)接收機(jī)。

其中,所述中頻模擬單元還用于將脈沖載頻碼和脈沖幅度碼輸出至所述射頻模擬單元以調(diào)節(jié)所述射頻模擬信號的頻點(diǎn)和幅度。

其中,所述中頻模擬單元還用于:在預(yù)設(shè)時序條件后,將在所述預(yù)設(shè)時序條件之前鎖存的雷達(dá)型號碼、脈沖載頻碼、脈沖到達(dá)方位碼和脈沖幅度碼輸出至所述射頻模擬單元。

其中,所述中頻模擬單元通過中頻數(shù)據(jù)回放卡實(shí)現(xiàn),所述中頻數(shù)據(jù)回放卡包括dsp模塊、fpga模塊和dds模塊;所述dsp模塊與所述信號生成模塊連接,用于接收數(shù)據(jù)、數(shù)據(jù)中斷管理和數(shù)據(jù)緩存;所述fpga模塊與所述dsp模塊連接,用于時鐘管理、邏輯控制和內(nèi)插濾波處理;所述dds模塊分別與所述fpga模塊及所述dsp模塊連接,用于為正交調(diào)制提供數(shù)字載波信號。

其中,所述dsp模塊包括波形產(chǎn)生單元、數(shù)據(jù)緩存單元、pci接口和配置控制單元;所述pci接口分別與pci總線、所述數(shù)據(jù)緩存單元及所述配置控制單元連接,其中,所述控制模塊通過所述pci接口訪問所述dsp模塊內(nèi)部的地址空間并向所述dsp模塊加載程序,以及所述dsp模塊通過所述pci接口訪問外部pci存儲空間;所述波形產(chǎn)生單元及所述數(shù)據(jù)緩存單元分別與所述fpga模塊連接,用于根據(jù)所述控制模塊發(fā)送的數(shù)據(jù)獲取信號波形信息,并將信號波形信息和數(shù)據(jù)信息發(fā)送至所述fpga模塊以進(jìn)行內(nèi)插和濾波處理;所述配置控制單元與所述dds模塊連接,用于根據(jù)所述控制模塊發(fā)送的數(shù)據(jù)對所述dds模塊中的操作進(jìn)行中斷管理。

其中,所述fpga模塊包括邏輯控制單元、地址譯碼單元、內(nèi)插濾波單元、fifo單元和dcm單元;所述內(nèi)插濾波單元分別與所述dsp模塊和fifo單元連接,用于對所述dsp模塊發(fā)送的數(shù)據(jù)進(jìn)行高速內(nèi)插和濾波處理,并通過所述fifo單元將處理后的數(shù)據(jù)發(fā)送至所述dds模塊;所述dcm單元與所述dds模塊連接,用于向所述dds模塊提供參考時鐘以進(jìn)行時鐘管理。

其中,所述dds模塊包括反向cic濾波器、半帶濾波器、cic濾波器、d/a單元、函數(shù)單元和倍頻單元;所述反向cic濾波器通過所述半帶濾波器與所述cic濾波器連接,用于組成內(nèi)插濾波器以進(jìn)行部分內(nèi)插功能;所述反向cic濾波器用于補(bǔ)償所述cic濾波器的通帶衰減,以保證奈奎斯特帶寬內(nèi)具有平坦的幅度響應(yīng);所述倍頻單元與所述fpga單元連接,用于對參考時鐘進(jìn)行倍頻處理以獲取系統(tǒng)時鐘,所述系統(tǒng)時鐘為所述dds模塊的工作時鐘。

本發(fā)明提供的電子對抗仿真系統(tǒng),通過使用脈沖描述字來描述射頻脈沖的基本特征,能夠充分描述復(fù)雜多變的戰(zhàn)場電磁環(huán)境,包括電磁環(huán)境在時域、頻域和空域等方面的特征,滿足電子對抗仿真對模擬復(fù)雜戰(zhàn)場電磁環(huán)境的需求。

附圖說明

為了更清楚地說明本發(fā)明實(shí)施例或現(xiàn)有技術(shù)中的技術(shù)方案,下面將對實(shí)施例或現(xiàn)有技術(shù)描述中所需要使用的附圖作一簡單地介紹,顯而易見地,下面描述中的附圖是本發(fā)明的一些實(shí)施例,對于本領(lǐng)域普通技術(shù)人員來講,在不付出創(chuàng)造性勞動的前提下,還可以根據(jù)這些附圖獲得其他的附圖。

圖1為本發(fā)明實(shí)施例提供的電子對抗仿真系統(tǒng)的框圖;

圖2為本發(fā)明實(shí)施例提供的信號生成模塊的框圖;

圖3為本發(fā)明實(shí)施例提供的信號模擬模塊的框圖;

圖4為本發(fā)明實(shí)施例提供的射頻模擬單元的設(shè)計框圖;

圖5為本發(fā)明實(shí)施例提供的pdw數(shù)據(jù)實(shí)時生成中頻模擬信號的結(jié)構(gòu)框圖;

圖6為本發(fā)明實(shí)施例提供的中頻模擬單元的實(shí)施方案的框圖;

圖7為本發(fā)明實(shí)施例提供的pci數(shù)據(jù)回放卡硬件實(shí)現(xiàn)的框圖;

圖8為本發(fā)明實(shí)施例提供的pci數(shù)據(jù)回放卡實(shí)現(xiàn)邏輯的框圖;

圖9為本發(fā)明實(shí)施例提供的數(shù)據(jù)回放功能實(shí)現(xiàn)方案的框圖。

具體實(shí)施方式

為使本發(fā)明實(shí)施例的目的、技術(shù)方案和優(yōu)點(diǎn)更加清楚,下面將結(jié)合本發(fā)明實(shí)施例中的附圖,對本發(fā)明實(shí)施例中的技術(shù)方案進(jìn)行清楚地描述,顯然,所描述的實(shí)施例是本發(fā)明一部分實(shí)施例,而不是全部的實(shí)施例?;诒景l(fā)明中的實(shí)施例,本領(lǐng)域普通技術(shù)人員在沒有做出創(chuàng)造性勞動前提下所獲得的所有其他實(shí)施例,都屬于本發(fā)明保護(hù)的范圍。

本發(fā)明實(shí)施例提供一種電子對抗仿真系統(tǒng),包括信號生成模塊,所述信號生成模塊用于根據(jù)接收的場景參數(shù)生成脈沖描述字?jǐn)?shù)據(jù)流,并將所述脈沖描述字?jǐn)?shù)據(jù)流輸出以進(jìn)行信號模擬。

其中,場景參數(shù)是對戰(zhàn)場電磁環(huán)境進(jìn)行描述的參數(shù),脈沖信號模塊能夠根據(jù)該場景參數(shù)對脈沖信號進(jìn)行模擬,模擬得到的脈沖信號為仿真中預(yù)期得到的脈沖信號。

戰(zhàn)場電磁環(huán)境是指到達(dá)電子戰(zhàn)設(shè)備的所有雷達(dá)輻射信號的集合,電子戰(zhàn)設(shè)備接收機(jī)接收到的則是這些雷達(dá)信號形成的脈沖流。脈沖描述字(pulsedescribeword,pdw)可以用來描述射頻脈沖的基本特征。脈沖描述字(pdw)通常包括以下內(nèi)容:脈沖載頻(rf)、脈沖寬度(pw)、脈沖前沿到達(dá)時間(toa)、脈沖到達(dá)角(doa)和脈沖幅度(pa)。為了描述方便,下文以pdw代表脈沖描述字。

此外,除了上述參數(shù)外,pdw中還要考察脈沖重復(fù)間隔(pri),pri為任意兩個相鄰脈沖之間的脈沖間隔,該脈沖間隔經(jīng)過長時間的累積會體現(xiàn)出規(guī)律。因此,在pdw中通常使用pri代替toa來考察脈沖的時間規(guī)律。因此,在本發(fā)明實(shí)施例中,可以使用上述五個參數(shù)(rf、pw、pri、doa和pa)建立pdw模型。將場景參數(shù)輸入pdw模型后,可以得到一個pdw;將對應(yīng)不同雷達(dá)的場景參數(shù)輸入pdw模型后,可以得到包含多個pdw的pdw數(shù)據(jù)流。

本發(fā)明實(shí)施例提供的電子對抗仿真系統(tǒng),通過使用脈沖描述字來描述射頻脈沖的基本特征,能夠充分描述復(fù)雜多變的戰(zhàn)場電磁環(huán)境,包括電磁環(huán)境在時域、頻域和空域等方面的特征,滿足電子對抗仿真對模擬復(fù)雜戰(zhàn)場電磁環(huán)境的需求。

圖1為本發(fā)明實(shí)施例提供的電子對抗仿真系統(tǒng)的框圖,如圖1所示,基于上述實(shí)施例,所述系統(tǒng)還包括:控制模塊101和信號模擬模塊103;所述控制模塊101用于根據(jù)接收的設(shè)定指令生成所述場景參數(shù),并發(fā)送所述場景參數(shù)至所述信號生成模塊102;所述信號模擬模塊103用于根據(jù)從所述信號生成模塊102接收的所述脈沖描述字?jǐn)?shù)據(jù)流,模擬并輸出射頻模擬信號。

其中,控制模塊101是雷達(dá)模擬系統(tǒng)的控制和操作中心,是仿真系統(tǒng)與用戶或上層系統(tǒng)交互的通道。控制模塊101主要用于接收用戶對于仿真系統(tǒng)的設(shè)定指令,設(shè)定指令反映用戶期望得到的脈沖??刂颇K101與信號模擬模塊102連接,將根據(jù)設(shè)定指定得到的場景參數(shù)發(fā)送給信號生成模塊102。

除上述功能外,控制模塊101還包括以下功能:接收上層控制計算機(jī)的控制指令和數(shù)據(jù);設(shè)置雷達(dá)模擬系統(tǒng)的工作模式;完成信號的波形、載頻、脈內(nèi)調(diào)制等參數(shù)的裝訂和下載,模擬雷達(dá)的各種信號波形;設(shè)置被跟蹤目標(biāo)的運(yùn)動軌跡和選擇跟蹤波束的信號波形并下載,模擬雷達(dá)的搜索、跟蹤以及搜索加跟蹤等各種工作方式;實(shí)時對雷達(dá)模擬系統(tǒng)的各信號生成單元和控制單元進(jìn)行狀態(tài)查詢和故障檢查,并在界面上顯示各單元的狀態(tài);通過網(wǎng)絡(luò)接收和顯示各分系統(tǒng)上傳的各自的工作狀態(tài)以及將雷達(dá)模擬系統(tǒng)的工作參數(shù)和狀態(tài)實(shí)時上傳給上層控制中心。

其中,信號模擬模塊103與信號生成模塊102連接,根據(jù)從信號生成模塊102接收到的pdw數(shù)據(jù)流,模擬產(chǎn)生射頻模擬信號,并發(fā)出射頻模擬信號。

圖2為本發(fā)明實(shí)施例提供的信號生成模塊的框圖,如圖2所示,基于上述實(shí)施例,所述信號生成模塊包括:描述字單元201、混合排序單元202和重疊處理單元203;所述描述字單元201用于根據(jù)所述場景參數(shù)生成脈沖描述字,并將所述脈沖描述字發(fā)送至所述混合排序單元202;所述混合排序單元202用于根據(jù)脈沖前沿到達(dá)時間,對多個所述脈沖描述字進(jìn)行排序,獲取脈沖描述字序列,并將所述脈沖描述字序列發(fā)送至所述重疊處理單元203;所述重疊處理單元203用于對所述脈沖描述字序列中重疊的脈沖進(jìn)行丟棄或合并處理,獲取所述脈沖描述字?jǐn)?shù)據(jù)流,并將所述脈沖描述字?jǐn)?shù)據(jù)流發(fā)送至所述信號模擬模塊。

其中,混合排序單元202中,每個pdw分別對應(yīng)一個雷達(dá)的脈沖,因此可以對多部雷達(dá)的pdw按照toa的順序進(jìn)行先后排序,形成一組有序的pdw數(shù)據(jù)。而對于重疊處理單元203,可以調(diào)用驅(qū)動程序結(jié)構(gòu),將pdw數(shù)據(jù)流傳入信號模擬模塊的硬件緩存中。

在信號生成模塊中,可以根據(jù)雷達(dá)信號形式生成相應(yīng)的pdw。雷達(dá)信號波形類型可以包括常規(guī)脈沖信號、頻率捷變信號、重頻參差信號、重頻滑變信號、線性調(diào)頻信號、非線性調(diào)頻信號、相位編碼信號和組合信號。例如,重頻抖動信號是在頻域上保持不變,脈寬一致,重復(fù)周期在一定范圍內(nèi)隨機(jī)跳變的信號。重頻抖動信號分為脈間抖動和脈組抖動。脈間抖動是值在每個脈沖之間重復(fù)周期隨機(jī)抖動。而脈組抖動是指一組脈沖(≥2)之間隨機(jī)抖動,而脈沖組內(nèi)保持重復(fù)周期不變的信號??梢愿鶕?jù)雷達(dá)信號形式生成相應(yīng)的pdw數(shù)據(jù)流。

在生成pdw數(shù)據(jù)流中,還需要考慮天線方向圖形形式。雷達(dá)天線是整個雷達(dá)和外部空間互相聯(lián)系的出入口,是雷達(dá)的一個重要組成部分。發(fā)射機(jī)所產(chǎn)生的高頻震蕩能量,通過天線以電磁波的形式輻射到空間,目標(biāo)的回波經(jīng)過天線的收集,發(fā)送到接收機(jī)和終端設(shè)備進(jìn)行處理。因此天線的某些特性參數(shù)對一部雷達(dá)來說是很重要的,主要有天線工作頻率、波束寬度以及天線增益等等。其中方向圖是雷達(dá)天線的重要參數(shù),除了需要知道天線的水平波束寬度和垂直波束寬度之外,還應(yīng)具體知道方向圖的形式,因此,本發(fā)明實(shí)施例可以提供3種典型的二維方向圖(高斯型、余弦型和sinc函數(shù)型)供用戶選擇。根據(jù)目標(biāo)偏離天線軸線的角度,可以分別計算出方位方向圖衰減因子和俯仰方向圖衰減因子。

圖3為本發(fā)明實(shí)施例提供的信號模擬模塊的框圖,如圖3所示,基于上述實(shí)施例,所述信號模擬模塊包括中頻模擬單元301和射頻模擬單元302;所述中頻模擬單元301用于對所述脈沖描述字?jǐn)?shù)據(jù)流進(jìn)行變頻處理,獲取中頻模擬信號,并將所述中頻模擬信號發(fā)送至所述射頻模擬單元302;所述射頻模擬單元302用于對所述中頻模擬信號進(jìn)行上變頻處理,獲取射頻模擬信號,并通過注入模式將所述射頻模擬信號注入雷達(dá)接收機(jī)。

其中,射頻模擬單元302主要完成對中頻模擬信號進(jìn)行上變頻至射頻信號,且工作頻段覆蓋p、l、c、s和ka等頻段,并通過注入模式注入到雷達(dá)接收機(jī)中。

圖4為本發(fā)明實(shí)施例提供的射頻模擬單元的設(shè)計框圖,如圖4所示,以模擬美航母戰(zhàn)斗群主要機(jī)載和艦載雷達(dá)信號(p波段,230~1000mhz)為主要目標(biāo)為例對中頻模擬單元301進(jìn)行說明,但本發(fā)明實(shí)施例的保護(hù)范圍不限于此。射頻模擬單元將重點(diǎn)考慮p波段(230~1000mhz)的設(shè)計。其他頻段的設(shè)計與其相似,可以通過微波開關(guān)來進(jìn)行不同頻段的切換。p波段上變頻具體指標(biāo)如下,中頻信號輸入頻率:75mhz;射頻輸出頻率:230mhz~1000mhz;信號瞬時帶寬:50mhz;增益:30db±2.5db;可控衰減:30db,1db步進(jìn);射頻輸出幅度:-20dbm~10dbm;中頻輸入信號動態(tài)范圍:-20dbm~+10dbm;頻率步進(jìn):1hz;輸出p-1:≥13dbm;雜散抑制:≥50dbc;諧波抑制:≥50dbc;相噪:≤-80dbc/hz@1khz;三階互調(diào)分量:輸出功率-10dbm時,50dbc抑制。

基于上述實(shí)施例,所述中頻模擬單元還用于將脈沖載頻碼和脈沖幅度碼輸出至所述射頻模擬單元以調(diào)節(jié)所述射頻模擬信號的頻點(diǎn)和幅度。

基于上述實(shí)施例,所述中頻模擬單元還用于:在預(yù)設(shè)時序條件后,將在所述預(yù)設(shè)時序條件之前鎖存的雷達(dá)型號碼、脈沖載頻碼、脈沖到達(dá)方位碼和脈沖幅度碼輸出至所述射頻模擬單元。

圖5為本發(fā)明實(shí)施例提供的pdw數(shù)據(jù)實(shí)時生成中頻模擬信號的框圖,如圖5所示,雷達(dá)中頻模擬單元的硬件系統(tǒng)扮演整個信號模擬模塊的從機(jī)的角色,主要完成以下功能:仿真開始前,將仿真軟件(主機(jī))傳送來的pdw數(shù)據(jù)存到自身擴(kuò)展存儲器對應(yīng)的地址中;仿真開始后,從機(jī)在主機(jī)設(shè)置的相關(guān)參數(shù)的控制下獨(dú)立工作。硬件系統(tǒng)中的脈沖發(fā)生器產(chǎn)生射頻脈沖;同時鎖存pdw的其他碼元數(shù)據(jù)(雷達(dá)型號碼rc、脈沖射頻載頻碼rf、脈沖到達(dá)方位碼doa和脈沖幅度控制碼pa),待滿足一定時序關(guān)系后,將其與中頻脈沖信號一起輸出;模擬器硬件板卡輸出rf碼和pa碼,控制頻率合成源生成的射頻信號的頻點(diǎn)和幅度。

基于上述實(shí)施例,所述中頻模擬單元通過中頻數(shù)據(jù)回放卡實(shí)現(xiàn),所述中頻數(shù)據(jù)回放卡包括dsp模塊、fpga模塊和dds模塊;所述dsp模塊與所述信號生成模塊連接,用于接收數(shù)據(jù)、數(shù)據(jù)中斷管理和數(shù)據(jù)緩存;所述fpga模塊與所述dsp模塊連接,用于時鐘管理、邏輯控制和內(nèi)插濾波處理;所述dds模塊分別與所述fpga模塊及所述dsp模塊連接,用于為正交調(diào)制提供數(shù)字載波信號。

中頻信號模擬單元可以由d/a中頻數(shù)據(jù)回放卡來實(shí)現(xiàn)。d/a中頻數(shù)據(jù)回放卡完成對基帶信號的數(shù)字上變頻和數(shù)據(jù)回放,生成中頻模擬信號。從信號采樣的角度來看,在滿足奈奎斯特采樣定律的前提下,任何波形都是由一個數(shù)字序列構(gòu)成。因此,只要利用相應(yīng)的采樣點(diǎn)通過d/a轉(zhuǎn)換器描述出來,就可以復(fù)現(xiàn)一個波形。

圖6為本發(fā)明實(shí)施例提供的中頻模擬單元的實(shí)施方案的框圖,如圖6所示,產(chǎn)生中頻模擬信號的數(shù)據(jù)為基帶復(fù)序列數(shù)據(jù),可來源于存儲介質(zhì),也可由算法產(chǎn)生。轉(zhuǎn)換為統(tǒng)一的回放設(shè)備數(shù)據(jù),然后進(jìn)行高速內(nèi)插和正交調(diào)制,從而可實(shí)現(xiàn)任意波特率的信號波形產(chǎn)生。通過增益控制模塊調(diào)整輸出信號電平、通過頻率調(diào)整模塊調(diào)整輸出信號的載波頻率。經(jīng)d/a和模擬濾波后產(chǎn)生任意頻率的中頻模擬信號。

圖8為本發(fā)明實(shí)施例提供的pci數(shù)據(jù)回放卡實(shí)現(xiàn)邏輯的框圖,圖9為本發(fā)明實(shí)施例提供的數(shù)據(jù)回放功能實(shí)現(xiàn)方案的框圖(以單通道為例),如圖8和圖9所示,具體的硬件設(shè)計方案可以采用dds+fpga+dsp結(jié)構(gòu),充分利用dds結(jié)構(gòu)、fpga結(jié)構(gòu)與dsp結(jié)構(gòu)在數(shù)據(jù)運(yùn)算與處理上的特點(diǎn),做到優(yōu)勢互補(bǔ)。

圖7為本發(fā)明實(shí)施例提供的pci數(shù)據(jù)回放卡硬件實(shí)現(xiàn)的結(jié)構(gòu)示意圖,如圖7所示,其中duc和d/a部分可以采用ad9957芯片,它集成了數(shù)字上變頻和dac,采樣率為1gs/s,同時功耗比其他直接頻率合成器減小50%以上,能產(chǎn)生中頻信號為400mhz的調(diào)制信號,且無雜散動態(tài)范圍達(dá)80db。其具有三種工作模式:正交調(diào)制模式、內(nèi)插dac模式和單音模式。當(dāng)它在正交調(diào)制模式工作時,iq兩路分時復(fù)用一個18bit的并行數(shù)據(jù)輸入口,一個i數(shù)據(jù)跟著一個q數(shù)據(jù),不斷重復(fù)。

基于上述實(shí)施例,所述dsp模塊包括波形產(chǎn)生單元、數(shù)據(jù)緩存單元、pci接口和配置控制單元;所述pci接口分別與pci總線、所述數(shù)據(jù)緩存單元及所述配置控制單元連接,其中,所述控制模塊通過所述pci接口訪問所述dsp模塊內(nèi)部的地址空間并向所述dsp模塊加載程序,以及所述dsp模塊通過所述pci接口訪問外部pci存儲空間;所述波形產(chǎn)生單元及所述數(shù)據(jù)緩存單元分別與所述fpga模塊連接,用于根據(jù)所述控制模塊發(fā)送的數(shù)據(jù)獲取信號波形信息,并將信號波形信息和數(shù)據(jù)信息發(fā)送至所述fpga模塊以進(jìn)行內(nèi)插和濾波處理;所述配置控制單元與所述dds模塊連接,用于根據(jù)所述控制模塊發(fā)送的數(shù)據(jù)對所述dds模塊中的操作進(jìn)行中斷管理。

dsp模塊可以選用tmsc6416t,其dsp內(nèi)核主頻達(dá)1ghz。在外部接口方面,其具有64個edma通道,每個通道都對應(yīng)一個專用同步觸發(fā)事件,使得edma可以被外設(shè)來的中斷、外部硬件中斷及其他edma傳輸完成的中斷等事件觸發(fā),開始進(jìn)行數(shù)據(jù)的搬移。此外,在tms320c6416中,增加了一個pci接口,使得dsp部分很容易通過pci接口無縫連接到一個具有pci功能的外部主cpu上。

tmsc6416t中的pci接口符合pci2.2規(guī)范,其具有pci主/從功能;支持32bit寬的地址和數(shù)據(jù)復(fù)用總線;工作頻率最高為33mhz;pc主機(jī)可通過pci接口訪問dsp內(nèi)部所有地址空間,向dsp加載程序;dsp也可通過該接口訪問外部pci存儲空間。dsp模塊完成pci接口模塊、中斷管理、數(shù)據(jù)傳輸?shù)裙δ?,并提供?shù)據(jù)緩存。

基于上述實(shí)施例,所述fpga模塊包括邏輯控制單元、地址譯碼單元、內(nèi)插濾波單元、fifo單元和dcm單元;所述內(nèi)插濾波單元分別與所述dsp模塊和fifo單元連接,用于對所述dsp模塊發(fā)送的數(shù)據(jù)進(jìn)行高速內(nèi)插和濾波處理,并通過所述fifo單元將處理后的數(shù)據(jù)發(fā)送至所述dds模塊;所述dcm單元與所述dds模塊連接,用于向所述dds模塊提供參考時鐘以進(jìn)行時鐘管理。

fpga模塊可以選用xc5vlx50芯片,該芯片支持2級速度,最大為550mb/s,工業(yè)級耐溫(-40℃~85℃),能夠滿足本發(fā)明實(shí)施例的需求。fpga模塊主要完成時鐘管理、邏輯控制、數(shù)據(jù)傳輸和內(nèi)插濾波等功能。

基于上述實(shí)施例,所述dds模塊包括反向cic濾波器、半帶濾波器、cic濾波器、d/a單元、函數(shù)單元和倍頻單元;所述反向cic濾波器通過所述半帶濾波器與所述cic濾波器連接,用于組成內(nèi)插濾波器以進(jìn)行部分內(nèi)插功能;所述反向cic濾波器用于補(bǔ)償所述cic濾波器的通帶衰減,以保證奈奎斯特帶寬內(nèi)具有平坦的幅度響應(yīng);所述倍頻單元與所述fpga單元連接,用于對參考時鐘進(jìn)行倍頻處理以獲取系統(tǒng)時鐘,所述系統(tǒng)時鐘為所述dds模塊的工作時鐘。

dds模塊為正交調(diào)制提供數(shù)字載波信號sin/cos,其工作時鐘為系統(tǒng)時鐘,系統(tǒng)時鐘由參考時鐘經(jīng)過倍頻處理得到。同時,它還需要完成部分內(nèi)插功能,例如,固定的4倍內(nèi)插濾波器由2個半帶濾波器級聯(lián)而成,級聯(lián)積分梳狀濾波器(cic)的內(nèi)插倍數(shù)為2-63,因此,總內(nèi)插倍數(shù)為8-252。inversecic濾波器(反向cic濾波器)用來補(bǔ)償cic濾波器的通帶衰減,以保證奈奎斯特帶寬內(nèi)有平坦的幅度相應(yīng)。

本發(fā)明實(shí)施例提供的仿真系統(tǒng)為實(shí)現(xiàn)多種調(diào)制、不同波特率、電平可控和任意中頻頻率可調(diào)的要求,硬件平臺設(shè)計采用一個通用、可編程的硬件架構(gòu),通過軟件編程來實(shí)現(xiàn)多種功能,采用目前業(yè)內(nèi)先進(jìn)的芯片構(gòu)成的系統(tǒng)硬件,滿足未來高速信號的回放要求,具備良好的可擴(kuò)展性。

最后應(yīng)說明的是:以上實(shí)施例僅用以說明本發(fā)明的技術(shù)方案,而非對其限制;盡管參照前述實(shí)施例對本發(fā)明進(jìn)行了詳細(xì)的說明,本領(lǐng)域的普通技術(shù)人員應(yīng)當(dāng)理解:其依然可以對前述各實(shí)施例所記載的技術(shù)方案進(jìn)行修改,或者對其中部分技術(shù)特征進(jìn)行等同替換;而這些修改或者替換,并不使相應(yīng)技術(shù)方案的本質(zhì)脫離本發(fā)明各實(shí)施例技術(shù)方案的精神和范圍。

當(dāng)前第1頁1 2 
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評論。精彩留言會獲得點(diǎn)贊!
1