午夜毛片免费看,老师老少妇黄色网站,久久本道综合久久伊人,伊人黄片子

一種基于fpga的永磁同步電機(jī)控制芯片的制作方法

文檔序號(hào):7356378閱讀:181來源:國知局
一種基于fpga的永磁同步電機(jī)控制芯片的制作方法
【專利摘要】一種基于FPGA的永磁同步電機(jī)控制芯片,作為永磁同步電機(jī)變頻調(diào)速控制系統(tǒng)中的主控芯片,整合了采集外部信號(hào)功能,坐標(biāo)變換功能,空間電壓矢量脈沖調(diào)制(SVPWM)驅(qū)動(dòng)功能,PI調(diào)節(jié)功能,轉(zhuǎn)子初始定位功能,以及Nios?II與PC上位機(jī)通信的功能。實(shí)現(xiàn)了速度電流雙閉環(huán)控制的電機(jī)控制芯片。芯片運(yùn)算速度快,計(jì)算準(zhǔn)確,集成度高,設(shè)計(jì)靈活簡單,應(yīng)用前景廣闊。
【專利說明】—種基于FPGA的永磁同步電機(jī)控制芯片
【技術(shù)領(lǐng)域】
[0001]本發(fā)明涉及基于FPGA控制永磁同步電機(jī)變頻調(diào)速的芯片。
技術(shù)背景
[0002]在自控系統(tǒng)中,輸出量能準(zhǔn)確快速跟隨輸入量的變化的系統(tǒng)稱為伺服系統(tǒng)。永磁同步電機(jī)作為執(zhí)行元件,因而系統(tǒng)可稱為永磁同步電機(jī)伺服系統(tǒng)。伺服系統(tǒng)分為位置伺服和速度伺服。文章所指的FPGA控制芯片作為速度伺服系統(tǒng)的主控芯片。
[0003]永磁同步電機(jī)伺服系統(tǒng),重點(diǎn)應(yīng)用到精密設(shè)備之中。廣泛應(yīng)用到航天航空,機(jī)器人和數(shù)控機(jī)床等領(lǐng)域。電機(jī)的輸出響應(yīng),動(dòng)態(tài)跟隨和靜態(tài)誤差等指標(biāo),決定著整個(gè)伺服系統(tǒng)乃至整套設(shè)備的性能。永磁同步電機(jī)速度伺服系統(tǒng),要求電機(jī)能靜止平滑啟動(dòng),快速響應(yīng)給定速度指令,速度超調(diào)小,震蕩小,靜態(tài)誤差小,抗干擾能力強(qiáng)。這就需要整定好PI參數(shù)。速度PI的增益大有利于速度快速響應(yīng),但容易產(chǎn)生震蕩,速度積分系數(shù)大有利于減小穩(wěn)妥誤差,但容易發(fā)生輸出飽和,導(dǎo)致速度超調(diào)。電流PI的增益大有利于輸出力矩響應(yīng)快,同樣容易導(dǎo)致不穩(wěn)定,積分系數(shù)大有利于減小穩(wěn)態(tài)誤差,也容易引發(fā)飽和產(chǎn)生超調(diào)。
[0004]國內(nèi)基于DSP控制永磁同步電機(jī)的方案已經(jīng)非常成熟,甚至已經(jīng)實(shí)現(xiàn)了參數(shù)自辯式,PI參數(shù)自整定,模糊控制等。也有出現(xiàn)以DSP為主控芯片搭配FPGA作為協(xié)控芯片的方案。方案的主要目的是減少DSP處理器的負(fù)擔(dān),F(xiàn)PGA作為DSP的硬件加速器,處理運(yùn)算量大,算法簡單的功能,DSP空出更多的資源處理算法復(fù)雜的功能。完全采用FPGA芯片作為主控芯片,集信號(hào)采集,運(yùn)算,控制,通信等功能于一體的方案比較少見。基于單片F(xiàn)PGA完成復(fù)雜的數(shù)字信號(hào)處理,邏輯運(yùn)算,脫離CPU的參與,完全基于陣列式硬件LEs單元實(shí)現(xiàn),具有并行特性,運(yùn)算速度快,適合處理算法復(fù)雜運(yùn)算量大的系統(tǒng)。

【發(fā)明內(nèi)容】

[0005]針對(duì)目前DSP控制電機(jī)存在速度瓶頸的問題,提出以FPGA作為主控芯片,集成信號(hào)采集,數(shù)字運(yùn)算,控制,通信等功能于一體,單片完成電機(jī)變頻調(diào)速矢量控制的功能。
[0006]FPGA永磁同步電機(jī)控制芯片,片內(nèi)包括Nios II處理器子系統(tǒng)和基于LEs的硬件電路。Nios II處理器承擔(dān)與上位機(jī)Labview通信,通過SCI接口接收來自Labview的數(shù)值,寫入相應(yīng)的PIO核,改變電機(jī)參數(shù);同時(shí)讀取電機(jī)的參數(shù)寄存器值,發(fā)送至Labview顯示;Nios II處理器子系統(tǒng)總線32Bits,掛接在總線上的IP核包括Nios II處理器核,SCI核,及數(shù)據(jù)寬度不同的PlO核。
[0007]基于門陣列邏輯單元實(shí)現(xiàn)的電路包括SVPWM脈沖發(fā)生模塊,坐標(biāo)變換模塊:dark, park, I_park, PI調(diào)節(jié)器模塊,正余弦計(jì)算模塊,角度計(jì)算模塊,速度計(jì)算模塊,AD控制器模塊,各類濾波模塊。
[0008]通過Labview界面,可以輸入速度指令,點(diǎn)擊啟動(dòng)按鈕,電機(jī)靜止平穩(wěn)快速啟動(dòng),并在Labview界面實(shí)時(shí)顯示速度曲線,電流波形,PI輸出等曲線,修改速度指令,電機(jī)轉(zhuǎn)速快速跟隨相應(yīng)。改變電機(jī)負(fù)載,電機(jī)快速抗干擾,反饋速度無差跟隨指令速度。[0009]本發(fā)明與已有的技術(shù)相比,其有益效果體現(xiàn)在:1,并行的工作方式,運(yùn)行速度快,比DSP串行的工作方式有更大的數(shù)據(jù)吞吐量;2,高集成度,集眾多功能于一體,減少外圍分立IC元件,減小PCB板體積;3,嵌入Nios II處理器靈活處理通信,過流保護(hù),電機(jī)狀態(tài)控制等功能;4,管腳用戶自定義,分配靈活,有利于PCB布局;5,F(xiàn)PGA設(shè)計(jì)靈活,快速驗(yàn)證,開發(fā)周期短;6,下載后形成基于LEs的硬件電路,比軟件代碼有更好的保密性。
【專利附圖】

【附圖說明】
[0010]圖1為本發(fā)明所指的伺服控制系統(tǒng)框圖。
[0011]圖2為本發(fā)明所指的FPGA電機(jī)控制芯片內(nèi)部詳細(xì)原理框圖。
[0012]圖3為本發(fā)明所指的FPGA電機(jī)控制芯片片內(nèi)電路頂層結(jié)構(gòu)。
【具體實(shí)施方式】
[0013]附圖1為伺服控制系統(tǒng)框圖,系統(tǒng)包括:FPGA控制芯片,功率驅(qū)動(dòng)板,永磁同步電機(jī)M,電流,光電脈沖采集板,上位機(jī)PC,存儲(chǔ)器Flash和SDRAM。
[0014]FPGA的外圍電路包括:為FPGA芯片提供5V電源,外部晶振頻率,下載電路,片外存儲(chǔ)芯片,按鍵和LED指示燈,GPIO引腳。功率驅(qū)動(dòng)板提供AC-DC的整流,為三相橋臂提供直流母線電壓。功率驅(qū)動(dòng)板與控制板通過六路PWM脈沖信號(hào)連接,以光耦隔離強(qiáng)弱電,PWM分別控制三相六個(gè)IGBT開關(guān)管,實(shí)現(xiàn)DC-AC的逆變,為電機(jī)提供三相交流電,驅(qū)動(dòng)電機(jī)旋轉(zhuǎn)。功率驅(qū)動(dòng)板上線性霍爾傳感器采集U,V兩相電流。永磁同步電機(jī)為數(shù)百瓦低功率四對(duì)極永磁同步電機(jī)。信號(hào)采集板對(duì)電流和光電編碼信號(hào)進(jìn)行調(diào)理,對(duì)傳感器采集的兩相電流U,V信號(hào)進(jìn)行跟隨,放大,濾波,抬升,模數(shù)轉(zhuǎn)化,對(duì)光電編碼信號(hào)A,B, Z,U, V, W的差分信號(hào)進(jìn)行運(yùn)算,濾波,電平轉(zhuǎn)換。上位機(jī)通過串口線與FPGA開發(fā)板的RS-232接口連接。上位機(jī)軟件Labview根據(jù)用戶設(shè)計(jì),可以顯示數(shù)值輸入口,數(shù)據(jù)顯示窗口,控制按鈕。上位機(jī)與電機(jī)可實(shí)時(shí)通信交換數(shù)據(jù)。
[0015]附圖2為FPGA電機(jī)控制芯片的片內(nèi)規(guī)劃,從結(jié)構(gòu)層面看,片內(nèi)分為Nios II處理器系統(tǒng)和基于LEs的硬件電路。
[0016]從IP庫調(diào)出Nios II處理器,掛接到32Bits的Avalon總線上,同樣方法,掛接SCI核和PIO核到總線上。SCI作為Nios II與上位機(jī)的通信接口,基于用戶自定義的握手信號(hào)進(jìn)行數(shù)據(jù)交互。來自Labview發(fā)送的數(shù)據(jù)經(jīng)過握手信號(hào)的判別,相應(yīng)寫入PIO寄存器,16位PIO寄存器作為電機(jī)若干參數(shù)的寄存器,修改相應(yīng)的寄存器值,電機(jī)的參數(shù)相應(yīng)改變;I位的PIO寄存器存放電機(jī)控制信號(hào),比如電機(jī)的啟動(dòng),停止,暫停等。
[0017]基于LEs的硬件電路,主要實(shí)現(xiàn)了速度電流雙閉環(huán)的控制,采用矢量控制策略,以SVPWM方法驅(qū)動(dòng)電機(jī)旋轉(zhuǎn)。由外部晶振經(jīng)過PLL和計(jì)算器分頻后得到速度采樣周期Ims脈沖信號(hào)和電流采樣周期IOOus脈沖信號(hào),分別控制速度環(huán)和電流環(huán)的采樣運(yùn)算。
[0018]電流環(huán)采樣信號(hào)上升沿脈沖觸發(fā),讀取中值濾波模塊的輸出結(jié)果。電流采樣信號(hào)經(jīng)過適當(dāng)延遲,以等到電流PI的輸入誤差值更新并穩(wěn)定后,進(jìn)行一次PI運(yùn)算。
[0019]速度采樣信號(hào)上升沿脈沖觸發(fā),讀取Ims內(nèi)的脈沖計(jì)數(shù)器的計(jì)數(shù)差值。采樣信號(hào)經(jīng)過適當(dāng)?shù)难舆t,以等到速度PI輸入誤差值更新并穩(wěn)定后,進(jìn)行一次PI運(yùn)算。
[0020]SVPWM模塊中的三角載波下溢產(chǎn)生一個(gè)系統(tǒng)時(shí)間周期長度的高電平脈沖,脈沖上升沿觸發(fā),讀取電機(jī)的機(jī)械角度,進(jìn)行電氣角度的轉(zhuǎn)換后,參與到正余弦和坐標(biāo)變換的運(yùn)算中。
[0021]AD控制器連續(xù)控制AD芯片工作,IOus讀取一次轉(zhuǎn)化數(shù)據(jù)。中值濾波模塊每讀取10個(gè)AD轉(zhuǎn)化數(shù)據(jù)進(jìn)行一次濾波并輸出結(jié)果,并且沒IOOus更新一次輸出結(jié)果。
[0022]中值濾波結(jié)果輸出經(jīng)過電流零點(diǎn)校正模塊,以達(dá)到調(diào)零目的。濾波結(jié)果加上一個(gè)校正變量,變量寄存器為Pio核,變量數(shù)值大小由Nios II對(duì)電流偏移量的判斷得到。NiosII將校正值寫入對(duì)應(yīng)的PIO核,完成電流零點(diǎn)漂移校正的工作。
[0023]dark變換,park變換。經(jīng)由濾波和校正的兩相電流信號(hào)經(jīng)過坐標(biāo)變換運(yùn)算,得到了旋轉(zhuǎn)坐標(biāo)下的反饋轉(zhuǎn)矩電流iq和勵(lì)磁電流id。
[0024]反饋信號(hào)iq和id分別與電流的指令iq*和id*比較,得到誤差值,由PI調(diào)節(jié)器模塊讀入并進(jìn)行一次運(yùn)算。PI調(diào)節(jié)器采樣位置式模式對(duì)誤差進(jìn)行全積分輸出。
[0025]park逆變換。模塊對(duì)電流兩個(gè)PI的輸入進(jìn)行旋轉(zhuǎn)逆變換,得到兩相靜止交流信號(hào)。
[0026]SVPWM脈寬調(diào)制模塊。對(duì)兩相靜止交流信號(hào)對(duì)應(yīng)的空間矢量進(jìn)行判斷,確定所在的扇區(qū),相鄰兩個(gè)基本空間矢量的合成時(shí)間,計(jì)算三相占空比,經(jīng)過三角載波下溢產(chǎn)生的脈沖信號(hào)加載到寄存器中,并與三角載波進(jìn)行比較,輸出PWM脈沖波。
[0027]光電脈沖A,B, Z信號(hào)濾波及運(yùn)算。A脈沖信號(hào)經(jīng)過3個(gè)D觸發(fā)器串行輸入,三個(gè)D觸發(fā)器的輸出經(jīng)過三輸入與門得到濾波后的A脈沖,濾除了尖峰脈沖信號(hào)的干擾,B, Z同樣方法處理。A,B經(jīng)過邏輯運(yùn)算,得到了四倍頻脈沖信號(hào),同時(shí)得到了方向信號(hào)。Z脈沖經(jīng)過邏輯運(yùn)算,微秒級(jí)的高電平脈寬長度被處理成只有一個(gè)系統(tǒng)時(shí)鐘周期長度的脈沖。
[0028]U,V,W相位脈沖在一個(gè)360°電氣角度內(nèi)有六個(gè)組合,分別對(duì)應(yīng)六個(gè)電氣角度區(qū)域,每一個(gè)組合分配對(duì)應(yīng)區(qū)域中間角度,則六個(gè)組合分別對(duì)應(yīng)六個(gè)角度常量,可作為轉(zhuǎn)子啟動(dòng)時(shí)的初始定位。并通過定位的方法,測(cè)出永磁同步電機(jī)電機(jī)Z脈沖與電機(jī)A相繞組的機(jī)械的角度差,該差值作為補(bǔ)償角常量,用于角度校正,消除角度的累積誤差。
[0029]電機(jī)運(yùn)行過程,四倍頻信號(hào)作為脈沖計(jì)數(shù)器的時(shí)鐘輸入,方向信號(hào)作為脈沖計(jì)數(shù)器的上計(jì),下計(jì)信號(hào),Z脈沖作為脈沖計(jì)數(shù)器的異步電平加載信號(hào),加載補(bǔ)償角常量。脈沖計(jì)數(shù)器的輸出結(jié)果可用于折算為電氣角度,參與到正余弦查找表和選擇坐標(biāo)變換的運(yùn)算中。
[0030]脈沖計(jì)數(shù)器的輸出經(jīng)過兩個(gè)D觸發(fā)器串行讀取,D觸發(fā)器的時(shí)鐘信號(hào)來自速度的采樣周期Ims信號(hào),速度采樣周期信號(hào)上升沿觸發(fā),讀取計(jì)數(shù)結(jié)果并做差運(yùn)算,得到Ims內(nèi)的計(jì)數(shù)差值。折算為反饋速度。
[0031]反饋速度與指令速度進(jìn)行做差運(yùn)算,得到速度誤差。速度采樣周期信號(hào)經(jīng)過適當(dāng)延遲后,在速度誤差更新并穩(wěn)定后,進(jìn)行一次PI運(yùn)算,輸出電流指令值。
[0032]電機(jī)未啟動(dòng)時(shí),脈沖計(jì)數(shù)器異步加載UVW三相組合對(duì)應(yīng)的機(jī)械角度。電機(jī)啟動(dòng)時(shí),基于加載的計(jì)數(shù)值繼續(xù)累加四倍頻脈沖。
【權(quán)利要求】
1.一種基于FPGA的永磁同步電機(jī)控制芯片,其特征是單芯片實(shí)現(xiàn)電機(jī)外部信號(hào)的采集,運(yùn)算,控制,通信等功能;FPGA主控芯片需搭配Flash芯片用于硬件電路代碼存儲(chǔ);所述搭配配置芯片還用于Nios II處理器軟件程序的存儲(chǔ):SDRAM芯片作為Flash芯片與FPGA主控芯片的緩存。
2.根據(jù)權(quán)利要求1所述的基于FPGA的永磁同步電機(jī)控制芯片,其特征是所述的FPGA主控芯片從片內(nèi)架構(gòu)層面來看,可劃分為兩部分:Nios II處理器和基于LEs (LogicElements)設(shè)計(jì)的速度電流雙閉環(huán)控制電路;從片內(nèi)模塊功能層面來看,可劃分為四個(gè)部分:信號(hào)采集模塊,運(yùn)算模塊,控制模塊和通信模塊; 信號(hào)采集模塊包括兩路AD信號(hào)采集:A,B, Z光電脈沖采集及邏輯運(yùn)算和U,V,W相位光電脈沖的采集及邏輯運(yùn)算; 運(yùn)算模塊包括電機(jī)矢量控制算法中的坐標(biāo)變換,PI運(yùn)算,正余弦運(yùn)算,脈寬調(diào)制中的占空比運(yùn)算,指令值與反饋值的差值運(yùn)算,以及濾波運(yùn)算; 控制模塊包括PWM脈沖波的產(chǎn)生及驅(qū)動(dòng),電流環(huán)和速度環(huán)采樣周期信號(hào)的產(chǎn)生; 通信模塊由Nios II處理器實(shí)現(xiàn),Nios II處理器與PC上位機(jī)的Labview進(jìn)行通信:實(shí)時(shí)修改電機(jī)參數(shù),和發(fā)送電機(jī)參數(shù)到Labview顯示,并控制電機(jī)運(yùn)行狀態(tài)。 所述的配置芯片型號(hào)為:串行讀寫的EPCS16 ;Flash芯片的型號(hào)為:S29GL064N,16位數(shù)據(jù)寬度;SDRAM芯片的型號(hào)為:IS42S16320B,16位數(shù)據(jù)寬度;AD芯片型號(hào)為:AD7453,串行12位精度。 所述的通信模塊,是在片內(nèi)嵌入Nios II處理器,并通過執(zhí)行C代碼所生成的指令,來驅(qū)動(dòng)子系統(tǒng)中的SCI核工作;SCI核掛接在32Bits的Avalon的總線上,數(shù)據(jù)寬度8Bits,協(xié)議包括起始位、數(shù)據(jù)和終止位,SCI支持全雙工通信,波特率可設(shè)置至57600bit/s ;Nios II處理器接收和發(fā)送數(shù)據(jù)之前,需發(fā)送用戶自定義的握手信號(hào),以表征對(duì)應(yīng)的變量;Avalon總線上需掛接PIO核,作為電機(jī)參數(shù)寄存器和控制信號(hào)寄存器,存放變量值和控制信號(hào)值。 所述的信號(hào)采集模塊均采用硬件描述語言結(jié)合IP核來設(shè)計(jì);基于硬件描述語言設(shè)計(jì)兩個(gè)相同的AD控制器,分別控制片外兩片AD芯片;AD控制器連續(xù)控制AD芯片工作,AD芯片每IOus刷新一次轉(zhuǎn)換數(shù)據(jù);電流濾波模塊每IOus讀取一次AD轉(zhuǎn)換數(shù)據(jù),每讀取10個(gè)數(shù)據(jù)進(jìn)行一次中值濾波運(yùn)算并輸出結(jié)果;每IOOus的電流環(huán)采樣周期信號(hào)一到,濾波模塊輸出結(jié)果送入Clark模塊進(jìn)行坐標(biāo)變換運(yùn)算;濾波輸出必須經(jīng)過零點(diǎn)漂移校正,以軟件校正的方法確保電流零點(diǎn)的準(zhǔn)確性;電機(jī)未啟動(dòng)前,電流為零,經(jīng)過外部調(diào)理電路抬升之后電流零點(diǎn)對(duì)應(yīng)一個(gè)正電壓值,由于溫漂,零點(diǎn)對(duì)應(yīng)的正電壓值發(fā)生漂移,則通過軟件檢測(cè)零點(diǎn)是否漂移,通過加法器,加上一個(gè)校正變量,確保校正后的電流值的編碼為O ; 所述的信號(hào)采集模塊執(zhí)行A,B, Z光電脈沖信號(hào)的采集,濾波環(huán)節(jié)分別通過三個(gè)D觸發(fā)器進(jìn)行串行讀取并經(jīng)過三輸入的與運(yùn)算,得到了濾波后的A,B,Z信號(hào);A,B信號(hào)進(jìn)行邏輯運(yùn)算得到四倍頻脈沖和方向信號(hào);四倍頻脈沖作為脈沖計(jì)數(shù)器的時(shí)鐘輸入,方向信號(hào)作為脈沖計(jì)數(shù)器的上計(jì)下計(jì)控制信號(hào),Z信號(hào)經(jīng)過邏輯運(yùn)算形成一個(gè)高電平寬度為一個(gè)系統(tǒng)時(shí)鐘周期的單脈沖信號(hào),作為脈沖計(jì)數(shù)器的異步加載控制信號(hào),加載補(bǔ)償角常量。 所述的信號(hào)采集模塊還執(zhí)行U,V,W光電脈沖信號(hào)的采集,根據(jù)UVW三相6種不同的組合,將電氣空間劃分為6個(gè)不同的區(qū)域,每個(gè)區(qū)域?qū)挾葹?0度;通過查找表方法,將6個(gè)區(qū)域的6個(gè)中間角度值分別存放于FPGA片內(nèi)RAM芯片中,UVW三相組合的編碼作為RAM芯片地址,查找對(duì)應(yīng)的角度;RAM芯片的輸出數(shù)據(jù)作為脈沖計(jì)數(shù)器的異步加載值,在電機(jī)啟動(dòng)前,加載到脈沖計(jì)數(shù)器中,作為轉(zhuǎn)子初始定位的角度。 所述的控制模塊包括PWM脈沖輸出,電流環(huán)采樣周期信號(hào)和速度環(huán)采樣周期信號(hào)的產(chǎn)生;PWM脈沖來自三角載波與三相占空比數(shù)值的比較輸出;三角載波由計(jì)數(shù)器輸出來模擬,前半周期為上升計(jì)數(shù),后半周期為下降計(jì)數(shù),周期長度為IOOus ;載波下溢時(shí)產(chǎn)生高電平長度為系統(tǒng)時(shí)鐘周期的脈沖,該脈沖作為電機(jī)轉(zhuǎn)子機(jī)械角度的采樣信號(hào),同時(shí)作為加載三相占空比數(shù)值的控制信號(hào); 所述的基于FPGA的永磁同步電機(jī)控制芯片的電流環(huán)采樣周期信號(hào)來自外部晶振時(shí)鐘的分頻信號(hào),通過PLL分頻后再經(jīng)過計(jì)數(shù)器分頻,得到了周期為lOOus,占空比為50%的脈沖信號(hào);脈沖上升沿觸發(fā),刷新電流濾波模塊的輸出,電流PI調(diào)節(jié)器的輸出;達(dá)到電流環(huán)IOOus刷新一次數(shù)值的目的; 所述的基于FPGA的永磁同步電機(jī)控制芯片的速度采樣周期信號(hào)產(chǎn)生原理與電流環(huán)類似,得到了周期為1ms,占空比為50%的脈沖信號(hào),上升沿觸發(fā)得到Ims內(nèi)脈沖計(jì)數(shù)器的差值,以M法即一個(gè)固定周期內(nèi)計(jì)數(shù)多少脈沖折合為速度;同時(shí)以上升沿觸發(fā)速度PI調(diào)節(jié)器刷新一次輸出。 所述的運(yùn)算模塊均采用硬件描述語言結(jié)合IP核的方法混合設(shè)計(jì);運(yùn)算模塊包括Clark變換,Park變換,I_park變換,PI調(diào)節(jié)器,正余弦查找表,速度的M法運(yùn)算,SVPWM的占空比運(yùn)算;其中clrak變換,Park變換和I_park變換為標(biāo)準(zhǔn)模塊,均采用硬件描述語言設(shè)計(jì)。 所述的基于FPGA的永磁同步電機(jī)控制芯片的PI調(diào)節(jié)器采用位置式的方法,每一個(gè)采樣周期做一次積分運(yùn)算,即刷新一次PI調(diào)節(jié)器的輸出;電流環(huán)和速度環(huán)的PI調(diào)節(jié)器,均通過插入D觸發(fā)器的方法,來延遲采樣周期信號(hào),以保證本次采集的電流,角度,速度等信號(hào)能參與到本次的PI運(yùn)算之中。 所述的基于FPGA的永磁同步 電機(jī)控制芯片的正余弦查找表用片上RAM芯片存儲(chǔ)sin0和COS0,其中,Θ為電機(jī)電氣角度,用Θ作為RAM芯片的地址;將電氣角度360°平均分為2500個(gè)點(diǎn),每個(gè)點(diǎn)對(duì)應(yīng)的sin Θ和COS0值存放于RAM芯片中,2500對(duì)應(yīng)的二進(jìn)制編碼作為查找表地址;每一個(gè)速度環(huán)采樣周期信號(hào)上升沿觸發(fā),讀取一次Θ值,獲取相應(yīng)的sin0和COS Θ ,參與到Park變換和I_park變換模塊計(jì)算當(dāng)中; 所述的基于FPGA的永磁同步電機(jī)控制芯片的速度計(jì)算,采用M法運(yùn)算,脈沖計(jì)數(shù)器輸出經(jīng)過兩個(gè)D觸發(fā)器,觸發(fā)器的時(shí)鐘來自速度采樣周期信號(hào),該信號(hào)上升沿觸發(fā),兩個(gè)D觸發(fā)器分別保存本周期和上周期的計(jì)數(shù)值,將本周期計(jì)數(shù)減去上周期計(jì)數(shù),再與周期系數(shù)的倒數(shù)相乘并換算,得到單位為rad/min的速度反饋值;反饋值與指令速度做差,進(jìn)入速度PI調(diào)節(jié)器運(yùn)算,得到新的PI調(diào)節(jié)器的輸出值; 所述的基于FPGA的永磁同步電機(jī)控制芯片的SVPWM占空比運(yùn)算,需要判斷電壓矢量的區(qū)間,空間兩條基本矢量各自的合成時(shí)間,得出三個(gè)占空比數(shù)值;占空比數(shù)值需要被加載到D觸發(fā)器中,才能與三角載波比較產(chǎn)生PWM脈沖;D觸發(fā)器以系統(tǒng)時(shí)鐘為時(shí)鐘驅(qū)動(dòng),以三角載波下溢產(chǎn)生的脈沖信號(hào)為使能控制信號(hào),每一個(gè)下溢出現(xiàn),三路占空比加載入D觸發(fā)器,開始與三角載波比較并產(chǎn)生脈沖波。
【文檔編號(hào)】H02P21/00GK103516279SQ201310452681
【公開日】2014年1月15日 申請(qǐng)日期:2013年9月17日 優(yōu)先權(quán)日:2013年9月17日
【發(fā)明者】陳平, 蔡述庭, 謝云 申請(qǐng)人:廣東工業(yè)大學(xué)
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評(píng)論。精彩留言會(huì)獲得點(diǎn)贊!
1