午夜毛片免费看,老师老少妇黄色网站,久久本道综合久久伊人,伊人黄片子

基于FPGA和Kirsch的自適應(yīng)閾值彩色圖像邊緣檢測(cè)方法與流程

文檔序號(hào):11251895閱讀:869來源:國知局
基于FPGA和Kirsch的自適應(yīng)閾值彩色圖像邊緣檢測(cè)方法與流程

本發(fā)明涉及數(shù)字圖像處理技術(shù)領(lǐng)域,具體涉及一種基于fpga和kirsch的自適應(yīng)閾值彩色圖像邊緣檢測(cè)方法。



背景技術(shù):

物體的邊緣是反映其特征的一個(gè)重要依據(jù),而數(shù)字圖像的邊緣檢測(cè)是圖像復(fù)原、圖像增強(qiáng)、區(qū)域分割、特征提取等很多圖像處理技術(shù)的前提。很長時(shí)間以來,國內(nèi)外學(xué)者對(duì)邊緣檢測(cè)技術(shù)的研究都很活躍,從而也就出現(xiàn)了多種多樣的邊緣檢測(cè)算法,常用的經(jīng)典邊緣檢測(cè)算法有很多,例如sobel算子、laplace算子、robert算子、canny算子等。這些傳統(tǒng)算法的閾值選取很重要,不過大多為事先設(shè)定好的固定閾值,靈活性受到限制,并且這些算法忽略了顏色信息,對(duì)于亮度相同而顏色不同或者有重疊邊緣的目標(biāo)物,容易出現(xiàn)漏檢、誤檢等。

由于受到科學(xué)技術(shù)發(fā)展的限制,邊緣檢測(cè)最初是從基于灰度圖像開始著手研究的,隨著彩色圖像技術(shù)的不斷發(fā)展,有關(guān)彩色圖像的邊緣檢測(cè)也逐漸發(fā)展起來。從1977年第一篇有關(guān)彩色圖像邊緣檢測(cè)的論文由nevatia教授發(fā)表以后,后續(xù)的研究者又陸續(xù)提出了很多基于彩色圖像的邊緣檢測(cè)算法,如向量統(tǒng)計(jì)法、矢量差直方圖法、模糊元法等等。這些算法基本可以歸為向量法和顏色分量輸出合成方法這兩大類,但是計(jì)算量大,計(jì)算復(fù)雜度過高。

在以往的彩色圖像邊緣檢測(cè)技術(shù)中,大多是借助c語言或者matlab語言,然后調(diào)用各自平臺(tái)所包含的封裝好的函數(shù)來實(shí)現(xiàn)邊緣檢測(cè),這種方式不利于了解算法的基本原理,也就不利于算法本身的擴(kuò)展,并且這種軟件實(shí)現(xiàn)方式一般借助pc機(jī),處理數(shù)據(jù)的速度較慢。此外,由于計(jì)算機(jī)是利用串行方式進(jìn)行處理,一旦需要處理海量的圖像數(shù)據(jù)時(shí),其實(shí)時(shí)性較差,處理時(shí)間長。



技術(shù)實(shí)現(xiàn)要素:

為了解決現(xiàn)有技術(shù)所存在的技術(shù)問題,本發(fā)明提供一種基于fpga和kirsch的自適應(yīng)閾值彩色圖像邊緣檢測(cè)方法,以kirsch算子作為邊緣檢測(cè)的基準(zhǔn),借助fpga平臺(tái)實(shí)現(xiàn)自適應(yīng)閾值彩色圖像的邊緣檢測(cè),并且以rgb888格式通過vga進(jìn)行直觀地顯示,以此提高彩色圖像邊緣檢測(cè)的效果,該方法可以彌補(bǔ)以往技術(shù)對(duì)圖像處理實(shí)時(shí)性不足的缺點(diǎn),對(duì)邊緣的檢測(cè)更加靈活,有助于提高物體邊緣檢測(cè)的準(zhǔn)確性。

本發(fā)明采用以下技術(shù)方案來實(shí)現(xiàn):基于fpga和kirsch的自適應(yīng)閾值彩色圖像邊緣檢測(cè)方法,包括以下步驟:

步驟1、對(duì)待檢測(cè)的彩色圖像進(jìn)行采集,得到y(tǒng)uv格式的圖像數(shù)據(jù),并將其轉(zhuǎn)換成ycbcr,將亮度分量y提取出來進(jìn)行后續(xù)處理;

步驟2、采用高斯濾波和中值濾波對(duì)圖像中的亮度分量y進(jìn)行去噪處理;

步驟3、對(duì)去噪處理后的圖像進(jìn)行邊緣檢測(cè),計(jì)算梯度值和改進(jìn)的自適應(yīng)閾值;將梯度值和改進(jìn)的自適應(yīng)閾值進(jìn)行比較,實(shí)現(xiàn)邊沿的提取和圖像二值化,若梯度值大于改進(jìn)的自適應(yīng)閾值,則判斷當(dāng)前像素點(diǎn)為邊緣點(diǎn),取值為1,否則取值為0;

步驟4、對(duì)邊緣圖像進(jìn)行形態(tài)學(xué)處理,得到經(jīng)過形態(tài)學(xué)處理后的分量y';

步驟5、將步驟1中未經(jīng)過處理的顏色分量cb和cr經(jīng)過延時(shí)操作后與步驟4中的分量y'合成y'cb'cr',然后利用ycbcr轉(zhuǎn)rgb888算法合成rgb888格式數(shù)據(jù)。

優(yōu)選地,步驟2所述高斯濾波過程為:將步驟1的亮度分量y經(jīng)過fpga中移位寄存器緩存兩行數(shù)據(jù),同時(shí)和當(dāng)前輸入的一行數(shù)據(jù)構(gòu)成3行陣列,然后對(duì)陣列中每行數(shù)據(jù)利用d觸發(fā)器進(jìn)行延遲后得到3×3像素陣列,將高斯模板與所述3×3像素陣列中的像素點(diǎn)分別進(jìn)行卷積運(yùn)算,計(jì)算所得到的灰度值即為高斯濾波后的中心像素點(diǎn)的值。

優(yōu)選地,步驟2所述中值濾波過程為:首先設(shè)計(jì)一個(gè)排序模塊對(duì)每一行圖像數(shù)據(jù)進(jìn)行大、中、小排序,得到三組數(shù)據(jù);再對(duì)排序之后的圖像數(shù)據(jù)通過設(shè)計(jì)好的排序模塊再次排序,提取所有最大值中的最小值maxmin,所有中值中的中值medmed,所有最小值中的最大值minmax,然后復(fù)用排序模塊,輸出的中值便是最終所需的中值。

優(yōu)選地,步驟2所述中值濾波為自適應(yīng)中值濾波,其判斷條件為:設(shè)定一個(gè)閾值ths,然后統(tǒng)計(jì)模板像素中絕對(duì)值大于該閾值ths的個(gè)數(shù)cnt,若cnt大于4,則對(duì)目標(biāo)像素進(jìn)行中值濾波處理;反之則保留原始像素值直接輸出。

優(yōu)選地,步驟3所述邊緣檢測(cè)采用八方向的kirsch算子,借助3×3像素陣列與kirsch算子檢測(cè)模板進(jìn)行卷積運(yùn)算后得到梯度值;所述改進(jìn)的自適應(yīng)閾值基于中值濾波、bernsen閾值算法以及加權(quán)平均來求取。

優(yōu)選地,步驟4所述形態(tài)學(xué)處理的方法為:采取先腐蝕后膨脹的開運(yùn)算,隨后進(jìn)行先膨脹后腐蝕的閉運(yùn)算,兩種運(yùn)算的權(quán)重比為1:1。

從上述技術(shù)方案可知,本發(fā)明借助fpga開發(fā)平臺(tái),將其作為整個(gè)圖像采集以及數(shù)據(jù)處理的核心部分,負(fù)責(zé)與所有數(shù)據(jù)的交互。利用fpga具備的并行處理數(shù)據(jù)、乒乓操作、流水線設(shè)計(jì)等特點(diǎn),使得其作為核心器件在圖像處理方面,尤其在數(shù)據(jù)處理的精度和實(shí)時(shí)性方面,發(fā)揮著很好的功能特點(diǎn)。與此同時(shí),針對(duì)物體邊界條件的判斷采用改進(jìn)的自適應(yīng)閾值,具有很強(qiáng)的靈活性和適用性。本發(fā)明對(duì)濾波處理進(jìn)行了一定的改進(jìn),并且充分利用目標(biāo)物的亮度和顏色信息,定位物體的邊緣,以提高檢測(cè)效果。

本發(fā)明相對(duì)于現(xiàn)有技術(shù)具有如下的優(yōu)點(diǎn)及效果:

1、本發(fā)明在分析傳統(tǒng)邊緣檢測(cè)算子和灰度圖像邊緣檢測(cè)的基礎(chǔ)上,選擇八方向的kirsch算子,與其他檢測(cè)算子相比,本發(fā)明檢測(cè)到的邊緣輪廓更完整。

2、本發(fā)明對(duì)邊緣檢測(cè)流程中的中值濾波和自適應(yīng)閾值的實(shí)現(xiàn),進(jìn)行了一定的改進(jìn),進(jìn)一步改善邊緣檢測(cè)的效果;本發(fā)明可以對(duì)目標(biāo)物進(jìn)行實(shí)時(shí)的檢測(cè),可以很好的處理大量的圖像數(shù)據(jù)。

3、本發(fā)明可以較好的區(qū)分出兩種不同顏色物體重疊處的邊緣,也可以對(duì)灰度圖像進(jìn)行邊緣檢測(cè),具有很強(qiáng)的靈活性。

4、本發(fā)明在檢測(cè)過程中使用的算法基于fpga,易于實(shí)現(xiàn),針對(duì)不同的場(chǎng)合定制不同的ip核,修改起來也很方便,并且對(duì)了解有關(guān)算法的原理很有幫助。

附圖說明

圖1是本發(fā)明的流程框圖;

圖2是實(shí)施例中待測(cè)彩色目標(biāo)圖像;

圖3是實(shí)施例中利用fpga實(shí)現(xiàn)3×3像素陣列的示意圖;

圖4是實(shí)施例中的kirsch算子檢測(cè)模板;

圖5是實(shí)施例中的vga模塊設(shè)計(jì)原理圖;

圖6是實(shí)施例中彩色圖像的灰度檢測(cè)結(jié)果示意圖;

圖7是實(shí)施例中采用本發(fā)明的邊緣檢測(cè)結(jié)果示意圖。

具體實(shí)施方式

下面結(jié)合實(shí)施例及附圖對(duì)本發(fā)明作進(jìn)一步的說明,但本發(fā)明的實(shí)施方式不限于此。

實(shí)施例

本發(fā)明以fpga作為時(shí)序控制和數(shù)據(jù)處理的核心,以ov7725作為采集圖像數(shù)據(jù)的來源。如圖1所示,為本發(fā)明的流程框圖,主要分為六個(gè)步驟,包括圖像顏色分解、圖像濾波去噪、計(jì)算閾值和kirsch梯度值、實(shí)現(xiàn)圖像形態(tài)學(xué)處理、合成rgb888格式數(shù)據(jù)、設(shè)計(jì)vga電路并顯示結(jié)果。下面以一張將花朵作為目標(biāo)對(duì)象的彩色圖像作為優(yōu)選的實(shí)施例詳細(xì)介紹本發(fā)明的實(shí)施過程。如圖2所示,該彩色圖像包括兩種不同顏色的對(duì)象,需要檢測(cè)的主體為紅色的花朵,背景是由綠色的枝葉覆蓋而成,二者有互相重疊交相輝映的區(qū)域。本實(shí)施例將通過本發(fā)明的方法,對(duì)目標(biāo)對(duì)象進(jìn)行邊緣檢測(cè),并區(qū)分出目標(biāo)物與背景這二者在重疊處的邊緣。

第一步,圖像顏色分解。即針對(duì)待檢測(cè)的彩色圖像,通過cmos攝像頭進(jìn)行采集,配置好攝像頭的采集參數(shù),得到y(tǒng)uv格式的圖像數(shù)據(jù);隨后將該圖像數(shù)據(jù)轉(zhuǎn)換成ycbcr以便進(jìn)行后續(xù)的處理,將其中表示亮度的y分量提取出來,送往后續(xù)模塊進(jìn)行處理,而剩下的兩個(gè)顏色分量cb、cr不作處理。

圖2中的彩色圖像經(jīng)過采集后的圖像數(shù)據(jù)為yuv格式,該格式轉(zhuǎn)換為ycbcr后方便進(jìn)行后續(xù)的處理,轉(zhuǎn)換公式如下所示:

int_yuv={cmos_y,cmos_cbcr}(1)

des_ycbcr={cmos_cbcr,cmos_y}(2)

其中,{}代表拼接運(yùn)算符,int_yuv為采集后的16bit數(shù)據(jù),des_ycbcr為轉(zhuǎn)換后的圖像數(shù)據(jù),公式(1)的目的是將int_yuv分解成兩個(gè)8bit的數(shù)據(jù)cmos_y和cmos_cbcr,二者交換順序之后再通過拼接運(yùn)算操作賦值給des_ycbcr。本實(shí)施例中,分解后的y、cb、cr各分量皆為8bit的數(shù)據(jù),提取其中的y分量送往后續(xù)模塊進(jìn)行處理。

第二步,圖像濾波去噪??紤]到采集到的視頻圖像或多或少會(huì)受噪聲的影響,因此需要對(duì)圖像進(jìn)行去噪處理,此處針對(duì)兩類常見噪聲,采用高斯濾波和中值濾波去除。高斯濾波需要借助3×3的高斯像素陣列,將圖像中的y分量與3×3的高斯模板做卷積,便可以得到經(jīng)過高斯濾波后的圖像數(shù)據(jù);中值濾波需要借助3×3的中值像素陣列,利用fpga的并行處理特點(diǎn)實(shí)現(xiàn)快速中值濾波,在此基礎(chǔ)上,實(shí)現(xiàn)一種改進(jìn)的自適應(yīng)中值濾波。去噪處理后的圖像數(shù)據(jù)會(huì)被送往下一個(gè)模塊進(jìn)行邊緣檢測(cè)的處理。

濾波去噪一般是借助窗口模板來實(shí)現(xiàn),常見的3×3高斯濾波模板如式(3)所示。

本實(shí)施例中,將第一步中的y分量圖像數(shù)據(jù)經(jīng)過fpga中移位寄存器緩存兩行數(shù)據(jù),同時(shí)和當(dāng)前輸入的一行數(shù)據(jù)可構(gòu)成3行陣列,然后對(duì)每行數(shù)據(jù)利用d觸發(fā)器進(jìn)行延遲,便可得到如圖3所示的3×3像素陣列??偣?個(gè)像素點(diǎn)記為matrix_gauss:{p11,p12,p13:p21,p22,p23:p31,p32,p33},將式(3)中的高斯濾波模板與這9個(gè)像素點(diǎn)分別進(jìn)行卷積運(yùn)算,計(jì)算公式如下:

計(jì)算所得到的灰度值即為濾波后的中心像素點(diǎn)的值。最后借助fpga的特性,實(shí)現(xiàn)高斯濾波的主要veriloghdl代碼為:

begin

gauss_value1<=matrix_p11+(matrix_p12<<1)+matrix_p13;

gauss_value2<=(matrix_p21<<1)+(matrix_p22<<2)+(matrix_p23<<1);

gauss_value3<=matrix_p31+(matrix_p32<<1)+matrix_p33;

end

計(jì)算中值濾波同樣借助3×3模板,首先設(shè)計(jì)一個(gè)排序模塊對(duì)每一行數(shù)據(jù)進(jìn)行大、中、小排序,這樣便得到了三組數(shù)據(jù);再對(duì)排序之后的數(shù)據(jù)通過設(shè)計(jì)好的排序模塊再次排序,由于總共有9個(gè)像素點(diǎn),故中值具有最多大于4個(gè)像素點(diǎn),最多小于4個(gè)像素點(diǎn)的這一特性。在經(jīng)過兩次排序之后,只需提取所有最大值中的最小值maxmin,所有中值中的中值medmed,所有最小值中的最大值minmax,然后復(fù)用大、中、小排序模塊,輸出的中值便是最終所需的中值。在中值濾波基礎(chǔ)上,對(duì)濾波的判斷條件進(jìn)行改進(jìn),得到一種改進(jìn)的自適應(yīng)中值濾波。在本實(shí)施例中,其自適應(yīng)判斷過程為:設(shè)定一個(gè)閾值ths為60,然后統(tǒng)計(jì)如圖3所示的3×3模板像素中絕對(duì)值大于該閾值ths的個(gè)數(shù)cnt,若cnt大于4,則對(duì)該模板中待處理的目標(biāo)像素點(diǎn)進(jìn)行中值濾波處理;反之則保留原始像素值直接輸出。

第三步,計(jì)算閾值和kirsch梯度值。本步驟對(duì)去噪處理后的圖像進(jìn)行邊緣檢測(cè),主要計(jì)算梯度值大小和自適應(yīng)閾值。邊緣檢測(cè)算子采用八方向的kirsch算子,借助3×3像素陣列與kirsch算子檢測(cè)模板進(jìn)行卷積運(yùn)算后,得到梯度值大??;隨后將該梯度值和改進(jìn)的自適應(yīng)閾值進(jìn)行比較,實(shí)現(xiàn)邊沿的提取和圖像二值化,若梯度值大于改進(jìn)的自適應(yīng)閾值,則判斷當(dāng)前像素點(diǎn)edge_kirsch_bit為邊緣點(diǎn),且取值為1,否則取值為0。

本步驟計(jì)算一種改進(jìn)的自適應(yīng)閾值作為邊緣判斷的基準(zhǔn),并實(shí)現(xiàn)kirsch算子的梯度值求取。改進(jìn)的自適應(yīng)閾值基于中值濾波、bernsen閾值算法以及加權(quán)平均來求取。通過中值濾波排序模塊后,可得到3×3模板中像素?cái)?shù)值的大小關(guān)系,提取其中的中值a、次最小值b和最小值c,以及將bernsen閾值設(shè)為d,加權(quán)系數(shù)分別為:m、n、p、q,其中m+n+p+q=1。

bernsen閾值可按如下公式計(jì)算:

其中(m,n)∈[-1,1],f(i,j)為目標(biāo)像素點(diǎn)(i,j)處的灰度值。

在本實(shí)施例中,對(duì)應(yīng)的加權(quán)系數(shù)分別取:因此該改進(jìn)的自適應(yīng)閾值計(jì)算公式如下:

本實(shí)施例中,采用的kirsch算子模板如圖4所示。借助圖3所示的示意圖,可得3×3像素陣列matrix_kirsch:{p11,p12,p13:p21,p22,p23:p31,p32,p33},將該陣列與圖4所示的模板分別做卷積運(yùn)算,可得對(duì)應(yīng)方向上的八個(gè)梯度幅值g1到g2,隨后計(jì)算像素點(diǎn)的總梯度幅值,計(jì)算公式如下:

將該梯度幅值與式(6)得到的自適應(yīng)閾值進(jìn)行比較即可求出邊緣點(diǎn),二者比較的公式如下:

若梯度幅值大于自適應(yīng)閾值,判斷為邊緣點(diǎn),取值為1,否則判斷為非邊緣點(diǎn)。

第四步,實(shí)現(xiàn)圖像形態(tài)學(xué)處理。經(jīng)過邊緣檢測(cè)算子處理之后的圖像一般為二值圖像,其中難免會(huì)有一些空洞和斷點(diǎn),本步驟通過形態(tài)學(xué)處理,可以使圖像的輪廓更加理想。本步驟利用選取好的形態(tài)學(xué)結(jié)構(gòu)元素模板tmm,對(duì)3×3的像素陣列進(jìn)行邏輯運(yùn)算,處理后的數(shù)據(jù)再轉(zhuǎn)換成8bit的數(shù)據(jù)輸出,輸出后的數(shù)據(jù)便為經(jīng)過形態(tài)學(xué)處理后的y'分量。

對(duì)得到的邊緣圖像進(jìn)行形態(tài)學(xué)處理,使圖像邊緣更加細(xì)膩和完整,形態(tài)學(xué)處理方法為膨脹與腐蝕?;镜呐蛎浐透g運(yùn)算公式如下:

edge1=p11|p12|p13|p21|p22|p23|p31|p32|p33(9)

edge2=p11&p12&p13&p21&p22&p23&p31&p32&p33(10)

其中,|和&分別為邏輯或、邏輯與運(yùn)算符,p11到p33為借助圖3所示的示意圖,得到的3×3陣列matrix_morph:{p11,p12,p13:p21,p22,p23:p31,p32,p33},通過對(duì)式(9)和式(10)進(jìn)行不同的組合,可以得到不同的處理結(jié)果。本實(shí)施例中,采取先腐蝕后膨脹的開運(yùn)算,隨后進(jìn)行先膨脹后腐蝕的閉運(yùn)算,兩種運(yùn)算的權(quán)重比為1:1,處理后的結(jié)果edge_y_r的位寬為1bit,需要將其轉(zhuǎn)換為8bit的數(shù)據(jù),轉(zhuǎn)換公式如下:

edge_y={8{edge_y_r}}(11)

第五步,合成rgb888格式數(shù)據(jù)。將第一步中未經(jīng)過處理的cb和cr分量,經(jīng)過一定時(shí)間(如10個(gè)時(shí)鐘周期)的延時(shí)操作后與第四步中的y'分量合成y'cb'cr',然后利用ycbcr轉(zhuǎn)rgb888算法,從而完成對(duì)rgb888格式數(shù)據(jù)的實(shí)現(xiàn)。

由于在fpga中,浮點(diǎn)數(shù)的運(yùn)算會(huì)消耗較多資源,并且在計(jì)算過程中為了防止負(fù)數(shù)的出現(xiàn),因此對(duì)原有的轉(zhuǎn)換公式進(jìn)行化簡,本實(shí)施例中,最終的轉(zhuǎn)換公式如下所示:

r=(596y+817cr-114131)÷512

g=(596y-200cb-416cr+69370)÷512(12)

b=(596y+1033cb-141787)÷512

根據(jù)式(12),首先計(jì)算括號(hào)里面y、cb、cr分量的整乘部分;其次計(jì)算各分量位移之后的結(jié)果,并賦值給中間變量;最后根據(jù)中間變量的結(jié)果,考慮到r、g、b皆為8位位寬,取值范圍為0~255。在本實(shí)施例中作如下處理:如果小于0(移位后的最高位為1),賦0;若大于255,則賦255;如果在0~255之間,則保持原值。以其中的r分量為例,最后的處理如下:

r<=r_r[10]?8'd0:(r_r[9:0]>9'd255)?8'd255:r_r[7:0](13)

其中,式(13)中的r_r為中間變量,?:為條件操作符。

第六步,設(shè)計(jì)vga電路并顯示邊緣檢測(cè)結(jié)果。經(jīng)過上述步驟處理后,可得到rgb888格式的圖像邊緣,為了實(shí)時(shí)顯示彩色圖像的邊緣檢測(cè)結(jié)果,本發(fā)明設(shè)計(jì)了vga電路,借助vga功能模塊來顯示。

vga模塊的外圍電路原理圖如圖5所示。它的3路da信號(hào)最大為10bit位寬,我們可以靈活地選擇使用其中的部分位寬來顯示圖像數(shù)據(jù),比如rgb565格式(5bit的r信號(hào),6bit的g信號(hào),5bit的b信號(hào))輸出。本實(shí)施例中,不使用的r、g、b信號(hào)可以先預(yù)留出來,在設(shè)計(jì)時(shí)全部賦值為0,如需使用則直接連接對(duì)應(yīng)信號(hào)。對(duì)于clock、blank和sync這三個(gè)引腳,根據(jù)芯片手冊(cè)可知:設(shè)計(jì)中sync可不使用,賦值邏輯低電平就行;而clock是和輸出的數(shù)據(jù)總線同步的,由設(shè)計(jì)中所需顯示屏幕的分辨率和刷新率決定;對(duì)于blank信號(hào)在數(shù)據(jù)總線有效時(shí)拉高即可。該芯片的模擬輸出ior、iog、iob信號(hào)和兩個(gè)同步信號(hào)vga_hs、vga_vs都是直接與該vga模塊的插座相連。

vga模塊設(shè)計(jì)好之后,將第四步和第五步得到的圖像數(shù)據(jù)進(jìn)行顯示,檢測(cè)結(jié)果如圖6和圖7所示。圖2中1和2兩處的紅色花朵與綠色葉子的邊緣在圖6中不易被區(qū)分出來,且容易將綠色的枝葉邊緣誤判為紅色花朵的邊緣;圖7卻可以較好地區(qū)分出1和2兩處的邊緣。檢測(cè)結(jié)果說明本發(fā)明實(shí)現(xiàn)了對(duì)彩色圖像的邊緣檢測(cè),同時(shí)也可以提高對(duì)類似目標(biāo)物邊緣檢測(cè)的有效性。

上述實(shí)施例為本發(fā)明較佳的實(shí)施方式,僅僅是對(duì)發(fā)明構(gòu)思的實(shí)現(xiàn)形式舉例說明,但本發(fā)明的實(shí)施方式并不受上述實(shí)施例的限制,其他的任何未背離本發(fā)明的實(shí)質(zhì)與原理下所作的多種變化、修飾、替代、變形等,均可視為等效的置換方式,都包含在本發(fā)明的保護(hù)范圍之內(nèi)。

當(dāng)前第1頁1 2 
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評(píng)論。精彩留言會(huì)獲得點(diǎn)贊!
1