午夜毛片免费看,老师老少妇黄色网站,久久本道综合久久伊人,伊人黄片子

電子束流品質(zhì)測(cè)試的高速偏擺掃描控制裝置的制作方法

文檔序號(hào):5876941閱讀:190來(lái)源:國(guó)知局
專利名稱:電子束流品質(zhì)測(cè)試的高速偏擺掃描控制裝置的制作方法
技術(shù)領(lǐng)域
本發(fā)明屬于電子束流加工技術(shù)領(lǐng)域,特別是一種電子束流品質(zhì)測(cè)試的高速偏擺掃 描控制裝置。
背景技術(shù)
對(duì)一臺(tái)電子束焊機(jī),為研究電子槍的理論設(shè)計(jì)與實(shí)際的差異,電子槍供電系統(tǒng)的 穩(wěn)定度與真空度變化等因素對(duì)電子束斑點(diǎn)直徑與功率密度分布的影響,以及束斑尺寸與位 置對(duì)焊縫成形的影響,需要精確地研究束流的特性,定量地測(cè)得電子束焦點(diǎn)的位置、直徑及 束流功率密度的分布。但由于焊接用電子束一般功率大、焦點(diǎn)的功率密度高,能熔化任何難 熔材料,電子束流功率在10 15KW條件下可以穿透100 150mm厚度的不銹鋼,即使導(dǎo)熱 最好的紫銅材料,電子束流功率IOKW也能穿透50 70mm以上,這就給測(cè)量帶來(lái)困難。一般在實(shí)際的電子束流焊接加工和束流測(cè)試中所測(cè)試的電子束流功率都較小。簡(jiǎn) 單的經(jīng)驗(yàn)測(cè)量法是利用小束流在某一高度試塊上調(diào)節(jié)聚焦電流,通過(guò)觀察束流斑點(diǎn)直徑 (使用光學(xué)潛望鏡)或光線強(qiáng)弱和飛濺大小(肉眼觀測(cè))等來(lái)大致判斷束流直徑和能量分 布。AB法(Arata-Beam Test Method,日本Arata教授發(fā)明)測(cè)量電子束流的束流直徑空 間分布和焦點(diǎn),是將金屬片豎直放置在不同的高度,呈鋸齒斜坡?tīng)?,電子束流沿斜坡掃過(guò), 通過(guò)測(cè)量電子束流在金屬片上熔化寬度的痕跡,測(cè)定電子束流在不同工作距離的空間直徑 和焦點(diǎn)位置。AB法檢測(cè)結(jié)果誤差較大,不能測(cè)量大功率電子束流。德國(guó)DIABEAM電子束流 能量密度測(cè)試系統(tǒng)可以測(cè)量較大功率電子束流的束斑直徑和電子束流能量密度分布,但是 其系統(tǒng)的掃描波形單一,且無(wú)法改變,柔性低。

發(fā)明內(nèi)容
本發(fā)明的目的在于提供一種測(cè)量電子束流品質(zhì)的高速偏擺掃描控制裝置,能夠防 止電子束流對(duì)傳感器穿透破壞,而且掃描速度可以調(diào)節(jié),并定量地測(cè)量電子束束流直徑及 束流功率密度的分布。實(shí)現(xiàn)本發(fā)明目的的技術(shù)解決方案為一種電子束流品質(zhì)測(cè)試的高速偏擺掃描控制 裝置,由復(fù)雜可編程邏輯器件、串口通信電路、時(shí)鐘電路、復(fù)位電路、電源電路、數(shù)模轉(zhuǎn)換電 路、低通濾波電路、光電隔離電路和功率放大電路構(gòu)成,復(fù)雜可編程邏輯器件分別與串口通 信電路、時(shí)鐘電路、復(fù)位電路、電源電路、兩路波形發(fā)生電路連接,串口通信電路與工控機(jī)連 接,兩路同步波形發(fā)生電路由數(shù)模轉(zhuǎn)換電路、低通濾波電路、光電隔離電路和功率放大電路 組成,兩路功率放大電路與電磁偏轉(zhuǎn)線圈連接;時(shí)鐘電路經(jīng)過(guò)復(fù)雜可編程邏輯器件分頻,產(chǎn) 生多種頻率的時(shí)鐘分別用于串口通信、采集卡控制和頻率可變的波形發(fā)生;工控機(jī)經(jīng)過(guò)串 口通信電路控制復(fù)雜可編程邏輯器件,在時(shí)鐘電路的觸發(fā)下,復(fù)雜可編程邏輯器件產(chǎn)生兩 路波形的數(shù)字量,經(jīng)過(guò)數(shù)模轉(zhuǎn)換電路輸出模擬波形,低通濾波電路濾除模擬波形中的高次 諧波,濾波后的信號(hào)經(jīng)過(guò)光電隔離電路輸入功率放大器,放大后的信號(hào)驅(qū)動(dòng)電磁偏轉(zhuǎn)線圈 產(chǎn)生磁場(chǎng)使電子束偏轉(zhuǎn)掃描。
本發(fā)明與現(xiàn)有技術(shù)相比,其顯著優(yōu)點(diǎn)(1)采用復(fù)雜可編程邏輯器件(CPLD)和12 位數(shù)模轉(zhuǎn)換芯片,使電子束偏擺掃描的控制精度高,而且數(shù)字電路可以極大的提高裝置的 抗干擾能力。(2) CPLD外接的晶振頻率最大可超過(guò)100MHz,在保證波形精度的條件下,配合 高速數(shù)模轉(zhuǎn)換芯片和高速集成運(yùn)放可輸出高頻控制信號(hào),并且在同一個(gè)時(shí)鐘的控制下,兩 路控制波形時(shí)序達(dá)到了很好的同步。(3)可由用戶多次自行編輯所需波形數(shù)據(jù)下栽到可編 程邏輯器件中,在不改變整個(gè)系統(tǒng)硬件連接的情況下,輸出用戶所需的特殊波形,實(shí)現(xiàn)了傳 統(tǒng)的函數(shù)信號(hào)發(fā)生器不具有的一些波形的產(chǎn)生。(4)由于偏轉(zhuǎn)掃描線圈是感性負(fù)載,負(fù)載阻 抗會(huì)隨輸入的頻率變換而變化,采用恒流源功率放大器可以使輸出電流不受負(fù)載的影響, 這樣產(chǎn)生的交變磁場(chǎng)與控制信號(hào)時(shí)序關(guān)系準(zhǔn)確對(duì)應(yīng)。下面結(jié)合附圖對(duì)本發(fā)明作進(jìn)一步詳細(xì)描述。


圖1是電子束品質(zhì)測(cè)試系統(tǒng)示意圖。
圖2是電子束流在傳感器上表面的掃描路徑。
圖3是控制信號(hào)同步關(guān)系示意圖。
圖4是電子束品質(zhì)測(cè)試的偏擺掃描控制裝置電器原理示意圖。
圖5是電源電路原理圖。
圖6是時(shí)鐘電路原理圖。
圖7是串口通信電路原理圖。
圖8是復(fù)位電路原理圖。
圖9是可編程邏輯控制電路原理圖。
圖10是數(shù)模轉(zhuǎn)換、濾波和光電隔離電路原理圖。
圖11是CPLD控制程序流程圖。
圖12是Ua = 150kV, Ib = 15mA, H = 214mm工況下準(zhǔn)瞬態(tài)功率密度分布。
圖13是Ua = 150kV, Ib = 15mA, H = 214mm工況下準(zhǔn)瞬態(tài)功率密度分布投影
具體實(shí)施例方式本發(fā)明高速偏擺掃描控制裝置是對(duì)電子束流品質(zhì),包括束流斑點(diǎn)的直徑及束流功 率密度的分布進(jìn)行測(cè)試,位于電子束品質(zhì)測(cè)試系統(tǒng)中,該電子束品質(zhì)測(cè)試系統(tǒng)包括電子束 焊機(jī)、工控機(jī)8和工控機(jī)顯示器9,電子束焊機(jī)包括聚焦線圈1、偏擺線圈2和真空工作室4, 在測(cè)試時(shí),真空工作室4內(nèi)放置電磁偏轉(zhuǎn)線圈3、能量吸收裝置(銅、不銹鋼等金屬)5、傳感 器6,聚焦線圈1、偏擺線圈2、電磁偏轉(zhuǎn)線圈3同軸,電磁偏轉(zhuǎn)線圈3的上表面緊貼真空工作 室4的頂部,電磁偏轉(zhuǎn)線圈3與偏轉(zhuǎn)掃描控制裝置7連接,工控機(jī)8通過(guò)DB-9連接器與偏 轉(zhuǎn)掃描控制裝置7連接,工控機(jī)8通過(guò)屏蔽電纜與傳感器6連接。偏轉(zhuǎn)掃描控制裝置7通 過(guò)PS-2連接器與工控機(jī)8中的研華PCI1714采集卡相連,給采集卡提供采樣觸發(fā)信號(hào)和采 樣時(shí)鐘。功率放大器放大后的信號(hào)通過(guò)屏蔽電纜驅(qū)動(dòng)圖1中電磁偏轉(zhuǎn)線圈3產(chǎn)生交變的磁 場(chǎng)使電子束偏轉(zhuǎn)掃描。電子束流在電子槍中產(chǎn)生,經(jīng)過(guò)靜電聚焦后,再根據(jù)使用需要由電子束焊機(jī)的聚 焦線圈1和偏擺線圈2控制其聚焦?fàn)顟B(tài)和小角度偏擺。電子束穿過(guò)聚焦線圈1、偏擺線圈2、電磁偏轉(zhuǎn)線圈3,在偏轉(zhuǎn)掃描控制裝置7的控制下,電磁偏轉(zhuǎn)線圈3可以對(duì)大功率電子束 流產(chǎn)生大偏擺角度控制和不同平面圖形的掃描控制,電子束發(fā)生大角度偏轉(zhuǎn)至能量吸收裝 置5上,防止電子束直接碰撞到傳感器6上而損壞傳感器6,等待測(cè)試,當(dāng)偏轉(zhuǎn)掃描控制裝置 7接收到工控機(jī)8發(fā)來(lái)的二進(jìn)制控制代碼后執(zhí)行相應(yīng)的掃描頻率、信號(hào)波形、采集卡采樣速 率,電子束在傳感器6的表面來(lái)回掃描,掃描范圍邊長(zhǎng)為l_2cm正方形,掃描方式如圖2所
7J\ ο本發(fā)明電子束流品質(zhì)測(cè)試的高速偏擺掃描控制裝置7,由復(fù)雜可編程邏輯器件、串 口通信電路、時(shí)鐘電路、復(fù)位電路、電源電路、數(shù)模轉(zhuǎn)換電路、低通濾波電路、光電隔離電路 和功率放大電路構(gòu)成,復(fù)雜可編程邏輯器件分別與串口通信電路、時(shí)鐘電路、復(fù)位電路、電 源電路、兩路波形發(fā)生電路連接,串口通信電路與工控機(jī)連接,兩路同步波形發(fā)生電路由數(shù) 模轉(zhuǎn)換電路、低通濾波電路、光電隔離電路和功率放大電路組成,兩路功率放大電路與電磁 偏轉(zhuǎn)線圈連接;時(shí)鐘電路經(jīng)過(guò)復(fù)雜可編程邏輯器件分頻,產(chǎn)生多種頻率的時(shí)鐘分別用于串 口通信、采集卡控制和頻率可變的波形發(fā)生;工控機(jī)經(jīng)過(guò)串口通信電路控制復(fù)雜可編程邏 輯器件,在時(shí)鐘電路的觸發(fā)下,復(fù)雜可編程邏輯器件產(chǎn)生兩路波形的數(shù)字量,經(jīng)過(guò)數(shù)模轉(zhuǎn)換 電路輸出模擬波形,低通濾波電路濾除模擬波形中的高次諧波,濾波后的信號(hào)經(jīng)過(guò)光電隔 離電路輸入功率放大器,放大后的信號(hào)驅(qū)動(dòng)電磁偏轉(zhuǎn)線圈產(chǎn)生磁場(chǎng)使電子束偏轉(zhuǎn)掃描,其 具體實(shí)現(xiàn)的電路如下。結(jié)合圖1、圖4、圖5、圖6、圖7、圖8、圖9和圖10。圖5是電源電路,第一端子Jl 接入士 12V電源,第一開(kāi)關(guān)Sl控制電源接通,第一電容Cl和第十五電容C15對(duì)+12V電源 濾波,第二電容C2和第十六電容C16對(duì)-12V電源濾波,第一二極管Dl和第二二極管D2指 示電源通斷是否正常,第一電阻Rl和第二電阻R2分別限制流過(guò)第一二極管Dl和第二二極 管D2的電流大小,第三芯片U3是輸出+5V的穩(wěn)壓芯片7805,第二十電容C20和第二十二 電容C22對(duì)第三芯片U3的輸入端和輸出端進(jìn)行濾波,第二芯片U2是輸出-5V的穩(wěn)壓芯片 7905,第十九電容C19和第二十一電容C21對(duì)第二芯片U2的輸入端和輸出端進(jìn)行濾波,第 一芯片Ul是輸出+3. 3V的穩(wěn)壓芯片LM1117,第八電容C8和第十七電容C17對(duì)第一芯片Ul 的輸入端濾波,第十電容ClO和第十八電容C18對(duì)第一芯片Ul的輸出端濾波。圖6是時(shí)鐘 電路,第一電阻Rl限制第一有源晶振Yl輸入第五芯片U5中的電流大小。圖7是串口通信 電路,串口通信的物理接口選用RS-232,其連接器是第二端子J2,即DB-9,RS-232標(biāo)準(zhǔn)規(guī)定 邏輯1的電平為-15V -3V,邏輯0的電平為+3V +15V,第五芯片U5的I/O輸入輸出電 壓為0 3. 3V,所以必須加第四芯片U4進(jìn)行電平轉(zhuǎn)換,其中第三電容C3、第四4電容C4、第 五電容C5、第六電容C6和第七電容C7是第四芯片U4的外圍電容。圖8是復(fù)位電路,當(dāng)?shù)?二開(kāi)關(guān)S2被按下時(shí),復(fù)位I/O輸入零電位,第五芯片TO內(nèi)部電路被復(fù)位到初始狀態(tài)。圖9 是可編程邏輯控制電路,其中U5A、U5B和U5C分模塊表示了第五芯片U5的組成,器件型號(hào) 為ALTERA公司的EPM570T100C5N,第一插座JPl用于CPLD的JTAG模式編程,向器件下載設(shè) 計(jì)文件來(lái)實(shí)現(xiàn)系統(tǒng)的邏輯功能。圖10是兩路同步波形其中一路的電路圖,該電路實(shí)現(xiàn)了數(shù) 模轉(zhuǎn)換、濾波、光電隔離的功能,第六芯片U6是12位數(shù)模轉(zhuǎn)換芯片DAC7541,第六芯片TO輸 入端與CPLD的I/O連接,接收并行的12位數(shù)字信號(hào),第六芯片TO以電流形式輸出,因此接 入第十二芯片U12A和U12B,在U12B的輸出端將變化的電流轉(zhuǎn)換成峰值士5V的電壓波形, 第二十二電阻R22和第二十五C25組成一階低通濾波器,濾除電輸出信號(hào)中的高次諧波,濾波后的信號(hào)要經(jīng)過(guò)光電隔離才能輸入功率放大器,這樣可以保護(hù)前級(jí)電路不受功率放大器 高壓大電流的影響。第十芯片UlO和第十一芯片Ul 1是高線性度光電耦合器件HCNR201,由 于第四二極管D4和第五二極管D5的作用,當(dāng)經(jīng)過(guò)第二十二電阻R22的信號(hào)為正時(shí),第五二 極管D5導(dǎo)通,第四二極管D4截止,第七芯片U7成開(kāi)環(huán)狀態(tài),第十芯片UlO工作,第十一芯 片Ull關(guān)斷,當(dāng)經(jīng)過(guò)第二十二電阻R22的信號(hào)為負(fù)時(shí),則正好相反。第二十四電容C24和第 二十六電容C26為反饋電容,用于提高電路的穩(wěn)定性,消除自激振蕩,濾除電路中的毛刺信 號(hào),降低電路的輸出噪聲。第八芯片U8、第十七電阻R17和第二十七電容C27把光耦輸出的 電流信號(hào)轉(zhuǎn)換成電壓信號(hào)以供后級(jí)電路使用,并增強(qiáng)負(fù)載驅(qū)動(dòng)能力,降低輸出阻抗。第三二 極管D3、第六二極管D6與第七二極管D7、第八二極管D8的作用是分別為第十芯片UlO和 第十一芯片Ull的發(fā)光二極管提供導(dǎo)通電壓,減小信號(hào)的交越失真。信號(hào)經(jīng)過(guò)光電轉(zhuǎn)換后 輸入功率放大器,其主要技術(shù)指標(biāo)①工作方式恒流方式,②輸入阻抗> IOK Ω,③輸入 信號(hào)幅值彡5Vp,④輸出電流彡5Ap,⑤輸出電壓彡50Vp,⑥頻率響應(yīng)0_10ΚΗζ 士 ldb, ⑦失真度< 0. 5%,⑧相移2°,⑨零點(diǎn)漂移最大士20mv,⑩零輸入噪聲< 15mVpp。將 所有的電路組裝起來(lái),使用鋁合金為整個(gè)偏擺掃描裝置的外殼,最后裝置如圖1中偏擺掃 描控制裝置7所示。 測(cè)試前先將對(duì)CPLD進(jìn)行編程和配置,CPLD上電后執(zhí)行過(guò)程如圖11所示,其中x_ out和y_out分別為輸入數(shù)模轉(zhuǎn)換芯片的12位數(shù)字量。測(cè)試開(kāi)始時(shí)將電子束流預(yù)先偏轉(zhuǎn) 到能量吸收裝置 5 上,CPLD 輸出 x_out = 000000000000,y_out = 000000000000,在工控 機(jī)顯示器9上位機(jī)軟件中選擇好參數(shù)發(fā)送到偏轉(zhuǎn)掃描控制裝置7,第五芯片U5從預(yù)先偏轉(zhuǎn) 狀態(tài)接收到偏轉(zhuǎn)信號(hào)等于1且檢測(cè)到時(shí)鐘上升沿時(shí),開(kāi)始產(chǎn)生兩路同步波形的數(shù)字量,采 集卡觸發(fā)信號(hào)等于1,采樣時(shí)鐘啟動(dòng)使采集卡采樣。每次檢測(cè)到時(shí)鐘上升沿時(shí),x_out = x_ out士63,電子束流隨波形數(shù)字量x_out的增加從能量吸收裝置5上迅速偏轉(zhuǎn)到傳感器6 上,當(dāng) x_out = 111111111111 或 x_out = 000000000000 時(shí),y_out = y_out+63,直至 y_out =111111111111時(shí)掃描過(guò)程結(jié)束且電子束回到初始狀態(tài)。電子束流在傳感器表面往返掃 描時(shí),傳感器6接收微弱電流信號(hào)并經(jīng)過(guò)其內(nèi)部電路轉(zhuǎn)化放大成電壓信號(hào),電壓信號(hào)經(jīng)過(guò) 屏蔽電纜被工控機(jī)8中的采集卡采集。采集到的信號(hào)存儲(chǔ)在工控機(jī)8內(nèi),經(jīng)過(guò)軟件三維重構(gòu) 顯示在工控機(jī)顯示器9上,得到的測(cè)試結(jié)果如圖12和圖13所示。在電子束加速電壓Ua = 150kV,電子束電流Ib = 15mA,偏轉(zhuǎn)線圈到工作平面的距離H = 214mm條件下對(duì)ZD150-15A 型電子束焊機(jī)的電子束束流進(jìn)行測(cè)試,其中圖12為束流的三維準(zhǔn)瞬態(tài)功率密度分布圖,圖 形顯示電子束的功率密度近似高斯分布,圖13為圖12分布數(shù)據(jù)在XY平面上的投影,在一 定H下束流的直徑dx%由(χ = 10 90)束流功率處的等值線確定,如80%處X方向束 流直徑 d8(l%= 0. 82mm。
權(quán)利要求
一種電子束流品質(zhì)測(cè)試的高速偏擺掃描控制裝置,其特征在于由復(fù)雜可編程邏輯器件、串口通信電路、時(shí)鐘電路、復(fù)位電路、電源電路、數(shù)模轉(zhuǎn)換電路、低通濾波電路、光電隔離電路和功率放大電路構(gòu)成,復(fù)雜可編程邏輯器件分別與串口通信電路、時(shí)鐘電路、復(fù)位電路、電源電路、兩路波形發(fā)生電路連接,串口通信電路與工控機(jī)連接,兩路同步波形發(fā)生電路由數(shù)模轉(zhuǎn)換電路、低通濾波電路、光電隔離電路和功率放大電路組成,兩路功率放大電路與電磁偏轉(zhuǎn)線圈連接;時(shí)鐘電路經(jīng)過(guò)復(fù)雜可編程邏輯器件分頻,產(chǎn)生多種頻率的時(shí)鐘分別用于串口通信、采集卡控制和頻率可變的波形發(fā)生;工控機(jī)經(jīng)過(guò)串口通信電路控制復(fù)雜可編程邏輯器件,在時(shí)鐘電路的觸發(fā)下,復(fù)雜可編程邏輯器件產(chǎn)生兩路波形的數(shù)字量,經(jīng)過(guò)數(shù)模轉(zhuǎn)換電路輸出模擬波形,低通濾波電路濾除模擬波形中的高次諧波,濾波后的信號(hào)經(jīng)過(guò)光電隔離電路輸入功率放大器,放大后的信號(hào)驅(qū)動(dòng)電磁偏轉(zhuǎn)線圈產(chǎn)生磁場(chǎng)使電子束偏轉(zhuǎn)掃描。
2.根據(jù)權(quán)利要求1所述的電子束流品質(zhì)測(cè)試的高速偏擺掃描控制裝置,其特征在于實(shí) 現(xiàn)的具體電路為電源電路的第一端子Jl接入士 12V電源,第一開(kāi)關(guān)Sl控制電源接通,第 一電容Cl和第十五電容C15對(duì)+12V電源濾波,第二電容C2和第十六電容C16對(duì)-12V電 源濾波,第一二極管Dl和第二二極管D2指示電源通斷是否正常,第一電阻Rl和第二電阻 R2分別限制流過(guò)第一二極管Dl和第二二極管D2的電流大小,第三芯片U3是輸出+5V的穩(wěn) 壓芯片7805,第二十電容C20和第二十二電容C22對(duì)第三芯片U3的輸入端和輸出端進(jìn)行濾 波,第二芯片U2是輸出-5V的穩(wěn)壓芯片7905,第十九電容C19和第二i^一電容C21對(duì)第二 芯片U2的輸入端和輸出端進(jìn)行濾波,第一芯片Ul是輸出+3. 3V的穩(wěn)壓芯片LM1117,第八電 容C8和第十七電容C17對(duì)第一芯片Ul的輸入端濾波,第十電容ClO和第十八電容C18對(duì)第 一芯片Ul的輸出端濾波,士5V和3. 3V電源分別對(duì)第六芯片U6、第四芯片U4、第五芯片U5 和時(shí)鐘電路提供電壓;時(shí)鐘電路的第一電阻Rl限制第一有源晶振Yl輸入第五芯片TO中的 電流大小,串口通信電路的物理接口選用RS-232,其連接器是第二端子J2,即DB-9,RS-232 標(biāo)準(zhǔn)規(guī)定邏輯1的電平為-15V -3V,邏輯0的電平為+3V +15V,第五芯片U5的I/O輸 入輸出電壓為0 3. 3V,所以必須加第四芯片U4進(jìn)行電平轉(zhuǎn)換,其中第三電容C3、第四4 電容C4、第五電容C5、第六電容C6和第七電容C7是第四芯片U4的外圍電容;復(fù)位電路中, 當(dāng)?shù)诙_(kāi)關(guān)S2被按下時(shí),復(fù)位I/O輸入零電位,第五芯片U5內(nèi)部電路被復(fù)位到初始狀態(tài); 復(fù)雜可編程邏輯器件中的U5A、U5B和U5C分模塊表示了第五芯片U5的組成,U5A是U5的 主要I/O接口,提供給外部電路高低電平信號(hào),U5B和第一插座JPl用于CPLD的JTAG模式 編程,向器件下載設(shè)計(jì)文件來(lái)實(shí)現(xiàn)系統(tǒng)的邏輯功能;U5C是U5的電源接入端子,U5A的I/O 與兩路同步波形電路連接,實(shí)現(xiàn)了數(shù)模轉(zhuǎn)換、濾波、光電隔離的功能,第六芯片U6是12位數(shù) 模轉(zhuǎn)換芯片DAC7541,第六芯片U6輸入端與CPLD的I/O連接,接收并行的12位數(shù)字信號(hào), 第六芯片U6以電流形式輸出,因此接入第十二芯片U12A和U12B,在U12B的輸出端將變化 的電流轉(zhuǎn)換成峰值士 5V的電壓波形,第二十二電阻R22和第二十五C25組成一階低通濾波 器,濾除電輸出信號(hào)中的高次諧波,濾波后的信號(hào)要經(jīng)過(guò)光電隔離才能輸入功率放大器,第 十芯片UlO和第十一芯片Ull是高線性度光電耦合器件HCNR201,由于第四二極管D4和第 五二極管D5的作用,當(dāng)經(jīng)過(guò)第二十二電阻R22的信號(hào)為正時(shí),第五二極管D5導(dǎo)通,第四二 極管D4截止,第七芯片U7成開(kāi)環(huán)狀態(tài),第十芯片UlO工作,第十一芯片Ul 1關(guān)斷,當(dāng)經(jīng)過(guò)第 二十二電阻R22的信號(hào)為負(fù)時(shí),則正好相反;第二十四電容C24和第二十六電容C26為反饋電容,用于提高電路的穩(wěn)定性,消除自激振蕩,濾除電路中的毛刺信號(hào),降低電路的輸出噪 聲;第八芯片U8、第十七電阻R17和第二十七電容C27把光耦輸出的電流信號(hào)轉(zhuǎn)換成電壓 信號(hào)以供后級(jí)電路使用,并增強(qiáng)負(fù)載驅(qū)動(dòng)能力,降低輸出阻抗;第三二極管D3、第六二極管 D6與第七二極管D7、第八二極管D8的作用是分別為第十芯片UlO和第十一芯片Ull的發(fā) 光二極管提供導(dǎo)通電壓,減小信號(hào)的交越失真。
3.根據(jù)權(quán)利要求1所述的電子束流品質(zhì)測(cè)試的高速偏擺掃描控制裝置,其特征 在于測(cè)試開(kāi)始時(shí)將電子束流預(yù)先偏轉(zhuǎn)到能量吸收裝置[5]上,CPLD輸出X_out = 000000000000,y_out = 000000000000,在工控機(jī)顯示器[9]上位機(jī)軟件中選擇好參數(shù)發(fā)送 到偏轉(zhuǎn)掃描控制裝置[7],第五芯片U5從預(yù)先偏轉(zhuǎn)狀態(tài)接收到偏轉(zhuǎn)信號(hào)等于1且檢測(cè)到時(shí) 鐘上升沿時(shí),開(kāi)始產(chǎn)生兩路同步波形的數(shù)字量,采集卡觸發(fā)信號(hào)等于1,采樣時(shí)鐘啟動(dòng)使采 集卡采樣;每次檢測(cè)到時(shí)鐘上升沿時(shí),x_out = x_out士63,電子束流隨波形數(shù)字量1_0此的 增加從能量吸收裝置[5]上迅速偏轉(zhuǎn)到傳感器[6]上,當(dāng)x_out = 111111111111或1_0肚 =000000000000 時(shí),y_out = y_out+63,直至 y_out = 111111111111 時(shí)掃描過(guò)程結(jié)束且電 子束回到初始狀態(tài)。
全文摘要
本發(fā)明涉及一種電子束流品質(zhì)測(cè)試的高速偏擺掃描控制裝置,復(fù)雜可編程邏輯器件分別與串口通信等電路連接,串口通信電路與工控機(jī)連接,兩路同步波形發(fā)生電路由數(shù)模轉(zhuǎn)換電路、低通濾波電路、光電隔離電路和功率放大電路組成,兩路功率放大電路與電磁偏轉(zhuǎn)線圈連接;時(shí)鐘電路經(jīng)過(guò)復(fù)雜可編程邏輯器件分頻,產(chǎn)生多種頻率的時(shí)鐘分別用于串口通信、采集卡控制和頻率可變的波形發(fā)生;工控機(jī)經(jīng)過(guò)串口通信電路控制復(fù)雜可編程邏輯器件,在時(shí)鐘電路的觸發(fā)下,復(fù)雜可編程邏輯器件產(chǎn)生兩路波形的數(shù)字量,放大后的信號(hào)驅(qū)動(dòng)電磁偏轉(zhuǎn)線圈產(chǎn)生磁場(chǎng)使電子束偏轉(zhuǎn)掃描。本發(fā)明使電子束偏擺掃描的控制精度高,而且數(shù)字電路可以極大的提高裝置的抗干擾能力。
文檔編號(hào)G01B7/12GK101966620SQ20101026382
公開(kāi)日2011年2月9日 申請(qǐng)日期2010年8月27日 優(yōu)先權(quán)日2010年8月27日
發(fā)明者付鵬飛, 馮曰海, 周琦, 左從進(jìn), 彭勇, 毛智勇, 王亞軍, 王克鴻, 郭光耀, 顧民樂(lè) 申請(qǐng)人:南京理工大學(xué)
網(wǎng)友詢問(wèn)留言 已有0條留言
  • 還沒(méi)有人留言評(píng)論。精彩留言會(huì)獲得點(diǎn)贊!
1